CN109983155A - 用于选择性沉积的选择性改良的原位预清洁 - Google Patents

用于选择性沉积的选择性改良的原位预清洁 Download PDF

Info

Publication number
CN109983155A
CN109983155A CN201780058629.3A CN201780058629A CN109983155A CN 109983155 A CN109983155 A CN 109983155A CN 201780058629 A CN201780058629 A CN 201780058629A CN 109983155 A CN109983155 A CN 109983155A
Authority
CN
China
Prior art keywords
substrate
precleaning
plasma
chamber
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780058629.3A
Other languages
English (en)
Inventor
吴凯
维卡什·班西埃
柳尚澔
张镁
马飞跃
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109983155A publication Critical patent/CN109983155A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/24Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

藉由将表面暴露于包括氩气或氢气之一或更多者的预清洁等离子体继之以沉积,相对于第二表面(例如,介电表面)于第一表面(例如,金属表面)上选择性地沉积膜的方法。第一表面和第二表面可为实质上共面的。所沉积膜的选择性相对于在暴露于预清洁等离子体之前的基板可增加一个数量级。

Description

用于选择性沉积的选择性改良的原位预清洁
技术领域
本公开内容的实施方式大体涉及选择性地沉积膜的方法。更具体地,本公开内容的实施方式涉及于原位等离子体中选择性地沉积膜的方法。
背景技术
钨在逻辑及存储装置中以多个层级被广泛使用。通常,化学气相沉积(CVD)钨(W)工艺在基板上于成核开始处提供保角性(conformal)钨膜生长。随着装置尺寸持续微缩(scaling),有一些新的应用和集成,诸如钴触点的钴覆盖(capping)和用于背端(backend)的铜覆盖,其可仅在图案的某些区域上使用选择性钨生长。
在工艺流程集成期间,由于先前的处理步骤导致选择性钨工艺可能会损失选择性。举例而言,在化学机械平坦化(chemical-mechanical planarization;CMP)之后的图案化表面上,在金属与介电表面之间已观察到从>50:1至<5:1的严重选择性损失。
对于一些选择性钴工艺,已开发了使用表面活性剂(surfactant)的原位钝化工艺来改良选择性。所形成的钝化层仅在介电表面上反应而不是在铜表面上反应,所以钴能只在铜基板上生长,而不在钝化的电介质上生长,因此显著地改良了选择性。然而,目前的表面活性剂不仅会钝化介电表面,还会钝化钴表面。因此,钨也不能在钴表面上生长,使得完全无钨生长。
因此,在本领域中需要选择性地相对于不同的表面选择性地将膜沉积至一个表面上的方法。
发明内容
本公开内容的一个或更多个实施方式涉及选择性地沉积膜的方法。提供具有第一表面和与第一表面不同的第二表面的基板。将所述基板暴露于包括氩气或氢气之一或更多者的预清洁等离子体以形成已预清洁基板。于已预清洁基板的相对于第二表面的第一表面上选择性地沉积金属膜。
本公开内容的附加的实施方式涉及选择性地沉积膜的方法。提供具有金属表面和介电表面的基板。金属表面和介电表面为实质上共面的(coplanar)。将基板暴露于预清洁等离子体以形成已预清洁基板。预清洁等离子体包括在约10毫托(mTorr)至约1托的范围内的压力下的氩气或氢气之一或更多者。将已预清洁基板暴露于沉积条件以沉积金属膜。相对于介电表面于金属表面上所沉积的金属膜具有大于或等于约50:1的选择性。
本公开内容的进一步的实施方式涉及选择性地沉积膜的方法。提供具有实质上共面的钴表面和介电表面的基板。将基板暴露于预清洁等离子体以形成已预清洁基板。预清洁等离子体包括在约10毫托至约1托的范围内的压力与约室温的温度下的氩气或氢气之一或更多者。将已预清洁基板暴露于沉积条件以相对于介电表面于钴表面上沉积具有大于或等于约50:1的选择性的钨膜。沉积条件包括于约200℃至约300℃的范围内的温度下使用WF6/H2的热化学气相沉积(CVD)工艺。
附图说明
可藉由参照实施方式,这些实施方式中的一些实施方式绘示于附图中,可得到以上简要概述的有关本公开内容的更具体的描述,如此可得到详细地理解本公开内容的上述特征的方式。然而,应注意到,附图仅绘示本公开内容的典型实施方式,且因此不应被视为限制本公开内容的范围,因为本公开内容可允许其他等效实施方式。
图1示出了根据本公开内容的一个或更多个实施方式的具有第一表面和第二表面的基板的示意性截面图;
图2示出了图1的基板的示意性截面图,所述基板具有沉积于所述基板上的金属膜而没有预清洁;
图3示出了根据本公开内容的一个或更多个实施方式的图1的基板的示意性截面图,所述基板具有沉积于所述基板上的金属膜而具有预清洁;和
图4示出了根据本公开内容的一个或更多个实施方式的处理系统。
具体实施方式
本公开内容的实施方式提供使用原位预清洁工艺来改良集成(integration)流程(诸如化学机械平坦化(CMP)后)中金属沉积工艺(例如,钨)的选择性的方法。一些实施方式的预清洁工艺包括暴露于Ar和/或H2等离子体以在CMP之后选择性地移除来自电介质的表面损坏/污染,亦移除/减少金属表面上的氧化物,使得金属可相对于另一个表面沉积于一个表面上。等离子体压力可在约10毫托至约1托的范围内。可施加偏压以改良选择性并且使金属侧向生长最小化/消除金属侧向生长。在原位预清洁之后,选择性可恢复至大于或等于约50:1的程度。所述方法的实施方式可用于金属互连的覆盖层、用于CMP后减少缺陷的在金属过孔(vias)的顶部的覆盖、由下而上(bottom-up)间隙填充应用和其他工艺。
如本说明书和所附权利要求书中所使用的,互换地使用术语“基板”和“晶片”,这些术语两者皆指表面或表面的部分,于该表面或表面的部分上进行工艺。本领域技术人员亦将理解,除非上下文另有明确指出,否则提及基板亦可仅指基板的一部分。此外,提及在基板上的沉积可意指裸(bare)基板和具有沉积或形成于基板上的一个或更多个膜或特征结构的基板两者。
本文使用的“基板”指任何基板或形成在基板上的材料表面,在制造工艺期间于所述基板或材料表面上执行膜处理。举例而言,取决于应用,可于基板表面上执行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂硅氧化物、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石之类的材料,和任何其他材料诸如金属、金属氮化物、金属合金,和其他导电材料。基板包括但不限于半导体晶片。可将基板暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化(hydroxylate)、退火和/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理之外,在本公开内容中,亦可如以下更详细披露的在基板上所形成的底层(underlayer)上执行所披露的膜处理步骤中的任一者,并且术语“基板表面”旨在包括如上下文所指出的这种底层。因此,举例而言,当膜/层或部分膜/层已沉积于基板表面上时,新沉积的膜/层的暴露的表面成为基板表面。给定的基板表面包括什么将取决于待沉积的膜以及所使用的具体化学物(chemistry)。在一个或更多个实施方式中,第一基板表面将包括金属,第二基板表面将包括电介质,反之亦然。在一些实施方式中,基板表面可包括某些官能团(例如,-OH、-NH等)。
如本说明书和所附权利要求书中所使用的,互换地使用术语“反应性气体”、“前驱物”、“反应物”和类似者来指包括与基板表面反应的物种的气体。举例而言,第一“反应性气体”可简单地吸附至基板的表面上,并可用于与第二反应性气体的进一步化学反应。
本公开内容的实施方式提供将金属膜相对于第二表面选择性地沉积至一个表面上的方法。如本说明书和所附权利要求书中所使用的,相对于另一个表面在一个表面上将膜以术语“选择性地沉积”及类似者意指将第一量的膜沉积于第一表面上,和将第二量的膜沉积于第二表面上,其中膜的第二量小于膜的第一量或者无第二量的膜。在这方面使用的术语“相对于(over)”并非暗示一个表面在另一个表面的顶部上的物理定向,而是一个表面相对于另一个表面的化学反应的热力学或动力学性质的关系。举例而言,相对于介电表面将钴膜选择性地沉积至铜表面上意指钴膜沉积于铜表面上,并且较少钴膜或者无钴膜沉积于介电表面上;或者相对于介电表面上的钴膜的形成,铜表面上的钴膜的形成为热力学或动力学有利的。换句话说,可以相对于第二表面将膜选择性地沉积至第一表面上意指相对于第二表面上的沉积在第一表面上的沉积为有利的。
本公开内容的实施方式涉及选择性地沉积膜的方法。图1示出了具有第一表面20和第二表面30的基板10的示意性截面图。举例而言,所示的基板10为介电材料,使得第二表面30为介电表面。基板10中的沟道17填充有第一材料15,举例而言,金属。第一材料15的表面提供第一表面20。
第一表面20和第二表面30可具有与形成表面的材料的块材化学性质(bulkchemistry)不同的化学性质。举例而言,第一材料15可为金属(例如,钴),而第一表面20可为氧化的钴。第一表面20和第二表面30的表面化学性质可能受到基板上的先前处理的影响。举例而言,化学机械平坦化(CMP)工艺可能导致表面氧化、污染或损坏。对表面的氧化、污染或损坏可能造成选择性的损失。
在一些实施方式中,如图1中所示,第一表面和第二表面为实质上共面的。本领域技术人员将理解,实质上共面的意指由各个表面所形成的主平面在大约相同的平面内。如在这方面所使用的,“实质上共面的”意指于第一表面与第二表面之间的边界处测量、由第一表面所形成的平面在由第二表面所形成的平面的±100μm内。在一些实施方式中,由第一表面和第二表面所形成的这些平面在±500μm、±400μm、±300μm、±200μm、±100μm、±50μm、±10μm、±5μm、±1μm、±500nm、±250nm、±100nm、±50nm、±10nm、±1nm或±0.1nm内。
在一些实施方式中,基板10已进行化学机械平坦化(CMP)工艺。包括第一表面和第二表面的基板的表面可具有小于或等于约100nm、50nm、10nm、1nm、0.5nm或0.1nm的均方根(RMS)粗糙度。
图2示出了图1的基板的示意性截面图,所述基板具有沉积于所述基板上的金属膜40。金属膜40的选择性较差,具有大的面积或区域沉积于第二表面30和第一表面20上。本公开内容的实施方式提供原位方法以改良金属膜40的选择性。如以此方式所使用的,“原位”意指在金属膜的预清洁和沉积之间基板不暴露于空气。举例而言,可将基板定位于用于预清洁和膜沉积的相同的处理腔室中。在一些实施方式中,基板保持在举例而言于群集工具中用于预清洁和膜沉积的装载锁定(load-lock)条件下。
图3示出了图1的基板的示意性截面图,在第一表面20和第二表面30已暴露于预清洁工艺之后在所述基板上沉积有金属膜40。金属膜40对于第一表面20的选择性远大于图2中的选择性,图2中没有执行预清洁工艺。
为了增加选择性,将基板暴露于预清洁工艺。术语“预清洁”意指在将金属膜沉积于表面上之前而无额外的中间处理步骤(例如,沉积、退火、抛光)。预清洁工艺包括将基板暴露于预清洁等离子体。预清洁等离子体包括氩气或氢气之一或更多者。在一些实施方式中,预清洁等离子体包括氩气。在一些实施方式中,预清洁等离子体包括氢气。在一些实施方式中,预清洁等离子体包括氢气和氩气的混合物。在一些实施方式中,预清洁等离子体基本上由氩气所组成。在一些实施方式中,预清洁等离子体基本上由氢气所组成。在一些实施方式中,预清洁等离子体基本上由氢气和氩气的组合所组成。如在这方面所使用的,术语“基本上由……所组成”意指活性等离子体物种大于或等于所述成分的约95原子%。在一些实施方式中,预清洁等离子体大于或等于所述成分的约96、97、98或99原子百分比。
可取决于进行清洁的具体表面修改预清洁等离子体的条件。在一些实施方式中,预清洁等离子体的压力在约10毫托至约30托的范围内,或在约10毫托至约10托的范围内,或在约20毫托至约5托的范围内,或在约30毫托至约1托的范围内。在一些实施方式中,预清洁期间的温度在约0℃至约400℃的范围内,或在约室温至约400℃的范围内,或在约室温至约350℃的范围内,或在约室温至约300℃的范围内,或在约室温至约250℃的范围内。如本说明书和所附权利要求书中所使用的,术语“室温”指在约20℃至约25℃的范围内的温度。在一些实施方式中,预清洁等离子体的温度为约室温。
在一些实施方式中,预清洁等离子体包括施加至基板的偏压成分以导致对于等离子体物种的更多的方向性。举例而言,施加至晶片(或底座或晶片支撑件)的2MHz的偏压可藉由减少侧向膜沉积的量来改良金属膜沉积的选择性。
如图3所示,在已将第一表面和第二表面预清洁之后,将金属膜40相对于第二表面30选择性地沉积于第一表面20上。在一些实施方式中,实质上没有金属膜40沉积于第二表面30上。如在这方面所使用的,“实质上没有”意指作为金属膜的总重量小于约5%、4%、3%、2%或1%的金属膜沉积于第二表面上。
金属膜的选择性大于在并未暴露于预清洁等离子体的基板上藉由相同条件所沉积的膜的选择性。在一些实施方式中,金属膜具有大于或等于约40:1、45:1、50:1、55:1、60:1或更高的选择性。在一些实施方式中,金属膜的选择性增加5倍、6倍、7倍、8倍、9倍、10倍或更高。举例而言,在已预清洁表面上金属膜的选择性可能比并未预清洁的表面的金属膜的选择性大一个数量级(10倍),其中这些表面另外具有相同成分。
在一些实施方式中,第一表面20为金属表面,第二表面30为介电表面。在一个或更多个实施方式中,金属表面的金属包括钴、铜、钨或钌之一或更多者。各种实施方式的预清洁等离子体从金属表面的表面移除或减少氧化物的量。
所沉积的金属膜40可为任何适合的金属膜。在一些实施方式中,金属膜包括钨、钴或铜之一或更多者。在一个或更多个实施方式中,金属膜40基本上由钨所组成。如在这方面所使用的,术语“基本上由……所组成”意指金属膜大于或等于所指成分的约95原子百分比。在一些实施方式中,金属膜大于所指成分的约96、97、98或99原子百分比。
在一个或更多个实施方式中,金属膜40包括钨。可藉由使用适合的钨前驱物和反应物的化学气相沉积(CVD)或藉由适合的钨前驱物的热分解来沉积钨。在一些实施方式中,藉由原子层沉积(ALD)工艺沉积金属膜40,其中将基板的至少一部分依序暴露于适合的金属前驱物和反应物(例如,还原剂)。
适合的钨前驱物包括但不限于卤化钨、有机钨和有机金属钨配合物(organometallic tungsten complex)。在一些实施方式中,钨前驱物包括WF6、WCl6或WCl5之一或更多者。在一些实施方式中,钨前驱物包括WF6且反应物包括H2
适用于CVD或ALD工艺的共反应物包括但不限于硅烷(SiH4)、硼烷(B2H6)、氢气(H2),上述的等离子体或上述的组合。在一些实施方式中,反应物包括氢气。在一些实施方式中,反应物包括硅烷。在一些实施方式中,反应物包括硼烷。在一些实施方式中,反应物基本上由氢气所组成。如以此方式所使用的,术语“基本上由……所组成”意指反应性气体中的反应性成分(不包括稀释剂、载体或惰性物种)大于或等于所述化合物的约95%、98%或99%。在一些实施方式中,反应物基本上由硅烷所组成。在一些实施方式中,反应物基本上由硼烷所组成。
在一些实施方式中,使用具有相同或不同金属的有机金属前驱物和金属卤化物前驱物的组合来沉积金属膜40。举例而言,有机金属钨配合物可与卤化钨反应形成钨膜。有机金属前驱物和金属卤化物前驱物可在有或无额外的反应物(例如,还原剂)的情况下藉由CVD或ALD形成金属膜40。
在一些实施方式中,沉积工艺于约150℃至约500℃的范围内、或于约175℃至约400℃的范围内、或于约200℃至约300℃的范围内的温度下发生。在一个或更多个实施方式中,沉积工艺为在无等离子体增强下发生的热工艺。
将金属膜40沉积于基板上的步骤可包括将基板从预清洁腔室移动至沉积腔室。在一些实施方式中,预清洁腔室与沉积腔室为相同的腔室。在一些实施方式中,预清洁腔室与沉积腔室为不同的腔室。在一些实施方式中,预清洁腔室与沉积腔室为集成的,使得将基板从预清洁腔室移动至沉积腔室而不会使基板暴露于空气或氧气。
图4示出了根据本公开内容的一个或更多个实施方式的处理平台100。图4所示的实施方式仅代表一种可能的配置,而不应视为限制本公开内容的范围。举例而言,在一些实施方式中,处理平台100具有不同数量的工艺腔室、缓冲腔室和/或机械手配置。
处理平台100包括中心传送站110,中心传送站110具有多个侧111、112、113、114、115、116。所示的中心传送站110具有第一侧111、第二侧112、第三侧113、第四侧114、第五侧115和第六侧116。尽管示出六侧,但本领域技术人员将理解,取决于例如处理平台100的整体配置,中心传送站110可具有任何适合的侧数量。
传送站110具有定位于传送站110中的机械手117。机械手117可为能够在处理期间移动晶片的任何适合的机械手。在一些实施方式中,机械手117具有第一臂118和第二臂119。第一臂118和第二臂119可独立于另一个臂移动。第一臂118和第二臂119可在x-y平面中和/或沿z轴移动。在一些实施方式中,机械手117包括第三臂或第四臂(未示出)。这些臂中的各者可独立于其他臂移动。
处理平台100包括连接至中心传送站110的第一侧111的预清洁腔室120。预清洁腔室120经配置以将一个或更多个基板暴露于本文所述的预清洁工艺。
在已经于预清洁腔室120中清洁基板之后,可将所述基板移动至用于沉积的另一个腔室。图4所示的处理平台100包括两个沉积腔室:单一晶片处理腔室130和批处理腔室140。单一晶片处理腔室130和批处理腔室140中的任一者可为CVD和/或ALD处理腔室。
在绘示的实施方式中,批处理腔室140连接至中心传送站110的第二侧112,而单一晶片处理腔室130连接至中心传送站110的第三侧113。批处理腔室140可被配置为在批次时间一次处理x个晶片。在一些实施方式中,批处理腔室140可经配置以同时处理在约四个(x=4)至约12个(x=12)的范围的晶片。在一些实施方式中,批处理腔室140经配置以同时处理六个(x=6)晶片。如本领域技术人员将理解的,虽然批处理腔室140可在各个晶片的装载/卸载之间处理多个晶片,但每个晶片可在任何给定时间经受不同工艺条件。举例而言,空间原子层沉积腔室在处理腔室内的不同处理区域中将晶片暴露于不同工艺条件,使得当晶片移动通过这些区域中的每个区域时完成工艺。
在图4所示的实施方式中,处理平台100包括连接至中心传送站110的第四侧114的第二预清洁腔室150。第二预清洁腔室150可与预清洁腔室120相同或不同。
处理平台100亦可包括连接至中心传送站110的第五侧115的第一缓冲站151和/或连接至中心传送站110的第六侧116的第二缓冲站152。第一缓冲站151和第二缓冲站152可执行相同或不同的功能。举例而言,缓冲站可保持(hold)经处理并返回至原始盒的晶片盒,或第一缓冲站151可保持未处理的晶片,这些未处理的晶片在处理之后被移动至第二缓冲站152。在一些实施方式中,这些缓冲站之一或更多者经配置以在处理之前和/或处理之后预处理、预热或清洁晶片。
处理平台100亦可包括在中心传送站110与这些处理腔室中的任一者之间的一个或更多个狭缝阀160。在所示的实施方式中,在这些腔室中的各者与中心传送站110之间具有狭缝阀160。狭缝阀160可开启与关闭,以将处理腔室内的环境与中心传送站110内的环境隔离。举例而言,若处理腔室在处理期间将产生等离子体,则关闭该处理腔室的狭缝阀可能有助于防止杂散等离子体损坏传送站中的机械手。
处理平台100可连接至工厂界面(factory interface)102,以允许晶片或晶片盒装载入处理平台100。工厂界面102内的机械手103可将晶片或盒移入与移出缓冲站151、152。可藉由中心传送站110中的机械手117在处理平台100内移动晶片或盒。在一些实施方式中,工厂界面102为另一个群集工具的传送站。
处理平台100可包括连接至机械手117、预清洁腔室120、预清洁腔室150、单一晶片处理腔室130、批处理腔室140、缓冲站151、152、狭缝阀160、工厂界面102或工厂界面102内的机械手103之一或更多者的控制系统195。控制系统195可为任何适合的控制器,并且可包括与存储器197耦接的处理器196,处理器196经配置以致使能处理一个或更多个基板。举例而言,处理器196可经配置具有存储在存储器197中的可执行指令,以实现如本文所述的预清洁腔室120、150、单一晶片处理腔室130、批处理腔室140和/或中心传送站110的操作。
控制系统195可经配置以在中心传送站110、预清洁腔室120、150、批处理腔室140和单一晶片处理腔室130之间和之中移动基板。控制系统195可使用机械手117的第一臂118或第二臂119移动基板。控制系统195可经配置以控制狭缝阀160。本领域技术人员将理解,控制系统195不直接移动机械手117的臂118、119;而是,控制系统195导致机械手117的臂118、119藉由电子信号移动基板,这些电子信号控制与各种系统部件相关联的马达和/或致动器以实现移动。类似地,本领域技术人员将理解,控制系统195不预先清洁基板或将膜沉积于基板上;而是,控制系统195协调并提供电子信号给适当的部件以导致气体流动、点燃等离子体、加热/冷却等,以实现清洁和/或沉积。
控制系统195可经配置以控制清洁气体的组成、流量(flow rate)和/或压力中的至少一者。控制系统195可经配置以控制预清洁腔室中的等离子体点燃。控制系统195可经配置以藉由控制预清洁腔室中的一个或更多个加热/冷却元件来控制预清洁腔室中的基板的温度或预清洁腔室的温度。
控制系统195可经配置以控制单一晶片处理腔室130和/或批处理腔室140中沉积气体的组成、流量和/或压力中的至少一者。控制系统195可经配置以控制底座或基板支撑件的温度或处理腔室130、140的温度。
在一个或更多个实施方式中,处理器196可为可用于控制半导体制造中使用的各种制造设备的工业设定中的任何形式的通用计算机处理器之一。存储器197可以计算机可读介质的形式,且可为诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他形式的数字存储、本地或远程的容易取得的存储器之一或更多者。在一个或更多个实施方式中,支持电路(support circuit)198耦接至处理器196,用于以常规方式支持处理器196。这些支持电路198可包括高速缓冲存储器(cache)、电源、时钟电路、输入/输出电路系统和子系统和类似者。
在一个或更多个实施方式中,用于预清洁或膜沉积的处理程序(routine)通常可作为软件程序存储在存储器197中,当由处理器196执行该软件程序时,使得处理平台100执行本文所披露的工艺。软件程序亦可由位于远离由处理器196所控制的硬件的第二处理器(未示出)来存储和/或执行。当由处理器196执行软件程序时,该软件程序使得控制系统195作为特定用途的控制器。当控制系统195包括计算机时,控制系统195用作专用计算机,用于控制处理平台100以执行本文所披露的工艺。本公开内容的一些实施方式在单一处理腔室中处理具有第一表面和第二表面的基板,其中在腔室的第一部分中,基板表面暴露于预清洁等离子体。然后可将基板旋转至处理腔室的第二部分和/或处理腔室的后续部分以沉积金属膜。为了分离处理腔室的部分或区域中的各者或任一者,可采用气幕(gas curtain)。气幕在处理区域之间提供净化气体和真空端口之一或更多者,以防止反应气体从一个区域移动至相邻区域。在一些实施方式中,基板同时暴露于多于一个处理区域,其中基板的一部分处于第一区域(例如,用于预清洁暴露),并且同时基板的另一部分处于处理腔室的分离的区域。
根据一个或更多个实施方式,在形成层之前和/或在形成层之后对基板进行处理。可在同一腔室中或在一个或更多个分离的处理腔室中执行该处理。在一些实施方式中,将基板从第一腔室移动至分离的第二腔室,用于进一步处理。可将基板从第一腔室直接移动至分离的处理腔室,或可将基板从第一腔室移动至一个或更多个传送腔室,然后移动至分离的处理腔室。因此,处理设备可包括与传送站通信的多个腔室。这种设备可被称为“群集工具”或“群集系统”和类似者。
一般而言,群集工具为包括执行各种功能的多个腔室的模块化系统,这些功能包括基板中心寻找(center-finding)和定向、脱气、退火、沉积和/或蚀刻。根据一个或更多个实施方式,群集工具至少包括第一腔室和中心传送腔室。中心传送腔室可容纳使基板在处理腔室与装载锁定腔室之间和之中穿梭(shuttle)的机械手。传送腔室通常保持在真空条件下,并且提供用于使基板从一个腔室穿梭至另一个腔室和/或至位于群集工具的前端处的装载锁定腔室的中间阶段。可适用于本公开内容的两种众所周知的群集工具为皆可从加利福尼亚州圣克拉拉的应用材料公司获得的然而,可改变腔室的精确布置和组合,用以执行如本文所述的工艺的具体步骤。可使用的其他处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理诸如RTP、等离子体氮化、脱气、定向、羟基化和其他基板工艺。藉由在群集工具上的腔室中执行工艺,在沉积后续膜之前没有氧化,可避免基板表面受到大气杂质的污染。
根据一个或更多个实施方式,基板连续处于真空或“装载锁定”条件下,并且当从一个腔室移动至下一个腔室时不暴露于周围空气。因此,传送腔室处于真空,并且在真空压力下被“抽空(pump down)”。惰性气体可存在于处理腔室或传送腔室中。在一些实施方式中,在形成基板的表面上的层之后,使用惰性气体作为净化气体来移除一些或全部的反应物。根据一个或更多个实施方式,在沉积腔室的出口处注入净化气体,以防止反应物从沉积腔室移动至传送腔室和/或额外的处理腔室。因此,惰性气体流在腔室的出口处形成幕。
在处理期间可将基板加热或冷却。所述加热或冷却可藉由任何适合的手段来完成,手段包括但不限于改变基板支撑件(例如,底座)的温度并且使加热或冷却的气体流动至基板表面。在一些实施方式中,基板支撑件包括可经控制以导电方式改变基板温度的加热器/冷却器。在一个或更多个实施方式中,将所采用的气体(反应性气体或惰性气体任一者)加热或冷却以局部改变基板温度。在一些实施方式中,加热器/冷却器位于邻近基板表面的腔室内,以对流方式改变基板温度。
基板亦可在处理期间静止或旋转。旋转基板可连续旋转或以离散的步骤旋转。举例而言,基板可在整个工艺中旋转,或者基板可在暴露于不同的反应性气体或净化气体之间少量地旋转。在处理期间旋转基板(连续地或是逐步地任一者)可有助于藉由使例如气流几何形状的局部变化的效应最小化来产生更均匀的沉积或蚀刻。
尽管前述是针对本公开内容的实施方式,但在不背离本公开内容的基本范围的情况下,可设计出本公开内容的其他和进一步的实施方式,且本公开内容的范围由以下权利要求所确定。

Claims (15)

1.一种选择性地沉积膜的方法,所述方法包括以下步骤:
提供基板,所述基板具有第一表面和与所述第一表面不同的第二表面;
将所述基板暴露于预清洁等离子体,所述预清洁等离子体包括氩气或氢气之一或更多者,以形成已预清洁基板;和
于所述已预清洁基板的相对于所述第二表面的所述第一表面上选择性地沉积金属膜。
2.如权利要求1所述的方法,其中实质上没有所述金属膜沉积于所述第二表面上。
3.如权利要求1所述的方法,其中所述第一表面和所述第二表面为实质上共面的。
4.如权利要求3所述的方法,其中对所提供的所述基板先前已进行化学机械平坦化工艺。
5.如权利要求1所述的方法,其中在不暴露于空气的情况下对所述基板已进行先前的工艺。
6.如权利要求5所述的方法,其中在相同的处理腔室内对所述基板已进行先前的工艺。
7.如权利要求1所述的方法,其中所述第一表面为金属表面且所述第二表面为介电表面。
8.如权利要求7所述的方法,其中所述金属表面包括钴、铜、钨或钌之一或更多者。
9.如权利要求8所述的方法,其中所述预清洁等离子体从所述金属移除氧化物。
10.如权利要求1所述的方法,其中所述金属膜包括钨、钴或铜之一或更多者。
11.如权利要求1所述的方法,其中所述金属膜具有大于或等于约50:1的选择性。
12.如权利要求1所述的方法,其中所述预清洁等离子体具有在约10毫托至约1托的范围内的压力。
13.如权利要求1所述的方法,其中于约室温的温度下将所述预清洁等离子体暴露于所述基板。
14.如权利要求1所述的方法,其中所述预清洁等离子体基本上由氩气所组成。
15.如权利要求1所述的方法,其中所述预清洁等离子体基本上由氢气所组成。
CN201780058629.3A 2016-09-10 2017-09-08 用于选择性沉积的选择性改良的原位预清洁 Pending CN109983155A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662393022P 2016-09-10 2016-09-10
US62/393,022 2016-09-10
PCT/US2017/050687 WO2018049166A1 (en) 2016-09-10 2017-09-08 In-situ pre-clean for selectivity improvement for selective deposition

Publications (1)

Publication Number Publication Date
CN109983155A true CN109983155A (zh) 2019-07-05

Family

ID=61560307

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780058629.3A Pending CN109983155A (zh) 2016-09-10 2017-09-08 用于选择性沉积的选择性改良的原位预清洁

Country Status (5)

Country Link
US (2) US10395916B2 (zh)
KR (1) KR20190041024A (zh)
CN (1) CN109983155A (zh)
TW (1) TW201819665A (zh)
WO (1) WO2018049166A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
TWI665330B (zh) * 2018-06-21 2019-07-11 Feng Chia University 抗刮疏水層鍍製於金屬表面的方法
KR102318516B1 (ko) 2019-06-21 2021-10-28 한양대학교 에리카산학협력단 물질막 및 타겟 패턴의 선택적 제조 방법
JP2023523663A (ja) * 2019-09-06 2023-06-07 アプライド マテリアルズ インコーポレイテッド シャッターディスク
US20210087691A1 (en) * 2019-09-24 2021-03-25 Tokyo Electron Limited Film forming method
KR102368955B1 (ko) 2019-11-11 2022-03-02 한양대학교 산학협력단 물질막의 선택적 제조 방법 및 금속 패턴의 제조 방법
KR20220053879A (ko) 2020-10-23 2022-05-02 삼성전자주식회사 반도체 장치

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998037579A1 (en) * 1997-02-10 1998-08-27 Tokyo Electron Arizona, Inc. Process of tungsten chemical vapor deposition onto titanium nitride substrate
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
CN102007573A (zh) * 2008-04-29 2011-04-06 应用材料公司 在铜表面上选择性钴沉积
US20110244680A1 (en) * 2010-03-30 2011-10-06 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20130196502A1 (en) * 2011-12-09 2013-08-01 ASM International. N.V. Selective formation of metallic films on metallic surfaces
US20160118296A1 (en) * 2014-10-25 2016-04-28 Lam Research Corporation Interlevel Conductor Pre-Fill Utilizing Selective Barrier Deposition
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101989109A (zh) * 2009-07-29 2011-03-23 鸿富锦精密工业(深圳)有限公司 螺钉固定装置
US9275865B2 (en) 2012-10-31 2016-03-01 Applied Materials, Inc. Plasma treatment of film for impurity removal
WO2014189671A1 (en) 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998037579A1 (en) * 1997-02-10 1998-08-27 Tokyo Electron Arizona, Inc. Process of tungsten chemical vapor deposition onto titanium nitride substrate
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
CN102007573A (zh) * 2008-04-29 2011-04-06 应用材料公司 在铜表面上选择性钴沉积
US20110244680A1 (en) * 2010-03-30 2011-10-06 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20130196502A1 (en) * 2011-12-09 2013-08-01 ASM International. N.V. Selective formation of metallic films on metallic surfaces
US20160118296A1 (en) * 2014-10-25 2016-04-28 Lam Research Corporation Interlevel Conductor Pre-Fill Utilizing Selective Barrier Deposition
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films

Also Published As

Publication number Publication date
US20190385838A1 (en) 2019-12-19
TW201819665A (zh) 2018-06-01
US20180076020A1 (en) 2018-03-15
KR20190041024A (ko) 2019-04-19
US10395916B2 (en) 2019-08-27
WO2018049166A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
CN109983155A (zh) 用于选择性沉积的选择性改良的原位预清洁
TWI831756B (zh) 形成金屬薄膜的方法及儀器
KR102466639B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
US10529722B2 (en) Tungsten for wordline applications
TWI737859B (zh) 金屬表面上之氧化鋁的選擇性沉積
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
CN108369897A (zh) 用于钨原子层沉积工艺的作为成核层的共形非晶硅
JP2019534384A (ja) パターニングのための膜の堆積及び処理
US20120199887A1 (en) Methods of controlling tungsten film properties
TWI790320B (zh) 釕的選擇性原子層沉積
US20220359211A1 (en) Molybdenum templates for tungsten
JP2020515082A (ja) 誘電体膜の選択的堆積のための方法及び装置
JP2020501344A (ja) パターニング用途のためのボトムアップ柱状体の形状制御
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US20180144973A1 (en) Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TWI796388B (zh) 減少或消除鎢膜中缺陷的方法
TW201900914A (zh) 用於沉積低介電常數膜的方法與設備
US11244824B2 (en) Conformal doped amorphous silicon as nucleation layer for metal deposition
TWI773839B (zh) 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
CN113948451A (zh) 半导体装置的形成方法
US20220254685A1 (en) Nucleation-free tungsten deposition
TWI818059B (zh) 摻雜技術
CN113939896A (zh) 具有自成型阻挡层的低k电介质
US10068981B2 (en) Rare earth metal surface-activated plasma doping on semiconductor substrates
TWI757478B (zh) 形成鎢支柱的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190705