TWI818059B - 摻雜技術 - Google Patents

摻雜技術 Download PDF

Info

Publication number
TWI818059B
TWI818059B TW108128483A TW108128483A TWI818059B TW I818059 B TWI818059 B TW I818059B TW 108128483 A TW108128483 A TW 108128483A TW 108128483 A TW108128483 A TW 108128483A TW I818059 B TWI818059 B TW I818059B
Authority
TW
Taiwan
Prior art keywords
semiconductor material
dopant
electronic device
annealing
film
Prior art date
Application number
TW108128483A
Other languages
English (en)
Other versions
TW202012677A (zh
Inventor
沃夫剛 亞德霍德
黃奕樵
煒 劉
班傑明 哥倫布
亞伯赫拉希 梅尤
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202012677A publication Critical patent/TW202012677A/zh
Application granted granted Critical
Publication of TWI818059B publication Critical patent/TWI818059B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02269Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by thermal evaporation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Glass Compositions (AREA)
  • Thin Film Transistor (AREA)
  • Led Devices (AREA)

Abstract

公開了一種選擇性地和共形地摻雜半導體材料的方法。一些實施例利用透過熱分解選擇性地沉積在半導體材料上的共形摻雜劑膜。一些實施例涉及摻雜非視線性(non-line of sight)的表面。一些實施例涉及用於形成高摻雜的晶體半導體層的方法。

Description

摻雜技術
本公開的實施例大致涉及摻雜半導體材料的方法。本公開的一些實施例涉及相對於氧化物材料選擇性地摻雜半導體材料的方法。本公開的一些實施例涉及用於共形地(conformally)摻雜半導體材料的方法。
控制硼摻雜對於p型接觸應用很重要。處理的控制需要管理層的厚度、表面特性、熱預算和蝕刻選擇性。
此外,現有的硼摻雜方法沒有提供共形地摻雜三維結構(例如FINFETs)的方法。這些方法(例如植入)通常受限於視線性(line of sight),或無法顯示足夠的共形性(conformality)。
此外,現有的硼摻雜方法並沒有提供僅摻雜半導體材料的方法。現有技術經常摻雜半導體材料以及鄰近的氧化物材料。
因此,需要共形地摻雜半導體材料的方法,特別是對半導體材料表面具有選擇性的方法。
本公開的一或多個實施例涉及一種形成電子裝置的方法。該方法包括在包括半導體材料的第一表面上選擇性地沉積基本上共形(conformal)的摻雜劑膜,該第一表面相對於包括氧化物材料的第二表面。對電子裝置退火以將摻雜劑原子從摻雜劑膜驅入到半導體材料中,使得半導體材料被共形地摻雜而基本上沒有摻雜劑原子被驅入到氧化物材料中。
本公開的其他實施例涉及一種形成電子裝置的方法。該方法包括提供具有第一表面和第二表面的基板,該第一表面包括半導體材料,該第二表面包括氧化物材料。將第一表面和第二表面在大約700ºC至大約800ºC的溫度範圍下暴露於硼前驅物,以透過非視線性(non-line-of-sight)、熱分解處理來選擇性地沉積共形摻雜劑膜。摻雜劑膜沉積在第一表面上更甚於第二表面。摻雜劑膜包括硼。在約1150ºC至約1200ºC的溫度範圍內以毫秒退火對電子裝置退火,以將硼原子從摻雜劑膜驅入至半導體材料,以共形地摻雜半導體材料,而基本上沒有摻雜劑原子被驅入到氧化物材料中。
本公開的其他實施例涉及一種形成電子裝置的方法。該方法包括在晶體半導體材料上形成非晶硼層。附加的半導體材料沉積在非晶硼層上。附加的半導體材料基本上是非晶的。使電子裝置退火以使附加的半導體材料結晶以形成硼摻雜的晶體半導體材料。
在描述本公開的一些範例實施例之前,應理解,本公開不限於以下描述中闡述的構造或處理步驟的細節。本公開能夠具有其他實施例且能夠以各種方式被實施或實行。
如在本說明書和所附申請專利範圍中所使用的,用語「基板」是指處理作用於其上的表面或表面的一部分。本領域技術人員還將理解,除非上下文另有明確說明,否則對基板的參照也可僅參照至基板的一部分。此外,參照至在基板上的沉積可以意謂裸基板和具有在其上沉積或形成的一或多個膜或特徵的基板。
本文所述的「基板表面」是指在製造處理中實行膜處理於其上的在基板上所形成的任何基板或材料表面。例如,可在其上實行處理的基板表面包括材料,例如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、以及任何其它材料,例如金屬、金屬氮化物、金屬合金、和其他導電材料,這取決於應用。基板包括,但不限於,半導體晶圓。基板可以暴露於預處理製程,以拋光、蝕刻、還原、氧化、羥基化(hydroxylate)、退火、UV固化、電子束固化、和/或烘烤基板表面。除了直接在基板自身表面上進行膜處理之外,在本公開內容中,所公開的膜處理步驟中的任何一個步驟也可以在如下更詳細公開的基板上所形成的下層上進行,且用語「基板表面」意欲包括如上下文所指示的此類下層。因此,例如,在當將膜/層或部分膜/層沉積在基板表面上時,新沉積的膜/層的暴露表面成為基板表面。
本公開的實施例涉及以具有包括共形(conformal)和選擇性摻雜處理的方法來形成電子裝置的方法。本公開的一些實施例有利地提供了共形地摻雜半導體材料的方法。本公開的一些實施例有利地提供了用於摻雜半導體材料優先於氧化物材料的方法。本公開的一些實施例有利地提供了摻雜非視線性平面(non-line of sight surfaces)的方法。
如在本說明書和隨附申請專利範圍中所使用的,用語「選擇性地沉積膜於一表面上更甚於另一表面(selectively depositing a film on one surface over another surface)」等意指第一量的膜沉積在第一表面上,第二量的膜沉積在第二表面上,其中膜的第二量小於膜的第一量,或者在第二表面上沒有膜 沉積。在這方面所使用的用語「更甚於(over)」並不意味著一個表面在另一表面的頂部上的物理定向,而是意味著一個表面相對於另一表面的化學反應的熱力學(thermodynamic)或動力學(kinetic)性質之間的關係。例如,將鈷膜選擇性地沉積在銅表面上更甚於介電質表面意味著鈷膜沉積在銅表面上,而很少或沒有鈷膜沉積在介電質表面上;或者相對於在介電質表面上形成鈷膜,在銅表面上形成鈷膜在熱力學或動力學上是有利的。
參照第1圖,一些實施例涉及形成電子裝置的方法100。第1圖示出了用於由方法100處理的範例基板的截面圖。基板10包括包含半導體材料25的第一表面20和包含氧化物材料35的第二表面30。在一些實施例中,半導體材料25包括矽或基本上由矽組成。
在一些實施例中,半導體材料25是電晶體的源極/汲極延伸區。在一些實施例中,電子裝置包括3D NAND裝置,其包括複數個交替的第一表面20和第二表面30。
如在本說明書和隨附申請專利範圍中所使用的,「基本上由...組成」等用語是指所討論的膜或組成是大於或等於活性材料的約95%、98%、99%、或99.5%。對於氣態組成(例如,反應氣體),用語「基本上由……組成」是指組成的活性成分,不包括稀釋劑、載體、或惰性氣體。
在一些實施例中,方法100開始於將基本上共形的(conformal)摻雜劑膜40選擇性地沉積在第一表面20上更甚於第二表面30。如本文所用,「基本上共形的」膜是指厚度在整個厚度上(例如,在側壁的頂部、中部、和底部以及在間隙的底部上)大致相同的膜。基本上共形的膜在厚度上變化小於或等於約10%、5%、2%、1%、或0.5%。
在一些實施例中,摻雜劑膜40具有在約2至約10個單層(monolayers)範圍內的厚度。
在一些實施例中,使用非視線性(non-line of sight)沉積處理來實行選擇性沉積共形膜40。因此,在一些實施例中,摻雜劑膜40共形地沉積在所有暴露的第一表面上,而不論其「可視性(visibility)」如何。如第1圖所示,菱形鰭狀的底面通常將難以摻雜,因為這些表面對於視線性的處理而言是「不可見的」。
在一些實施例中,選擇性地沉積摻雜劑膜40包括熱分解處理。在一些實施例中,熱分解處理包括將第一表面和第二表面在約600ºC至約900ºC或約700ºC至約800ºC的範圍的溫度下暴露於摻雜劑前驅物。
在一些實施例中,摻雜劑前驅物是硼前驅物,且摻雜劑膜包括硼。在一些實施例中,硼前驅物包含或基本上由硼烷(BH3)、乙硼烷(B2H6)、三硼烷(triborane,B3H5、B3H7)、四硼烷(B4H6、B4H10)、五硼烷(B5H9、B5H11)或環狀三硼烷(B3H6)或環狀四硼烷(B4 H8 )中的一種或多種組成。合適的硼前驅物的其他範例包括鹵化硼,例如BCl3 ,或具有化學式BHxR3-x的烷基取代的硼化合物,其中每個R為獨立選擇的C1-C6烷基群,x為0、1、或2。烷基取代的硼化合物的具體範例包括三甲基硼(trimethylboron)和三乙基硼(triethylboron)。
在一些實施例中,摻雜劑前驅物是磷前驅物,並且摻雜劑膜包括磷。在一些實施例中,磷前驅物包含或基本上由磷化氫(PH3 )組成。在一些實施例中,摻雜劑前驅物是砷前驅物,並且摻雜劑膜包含砷。在一些實施例中,砷前驅物包含或基本上由砷化氫(AsH3 )組成。
在一些實施例中,方法100繼續透過對電子裝置進行退火以將摻雜劑原子從摻雜劑膜40驅入到半導體材料25中,使得半導體材料25被共形地摻雜並且基本上沒有摻雜劑原子被驅入到氧化物材料35中。如第1圖所示,半導體材料25的共形摻雜由摻雜表面上的粗線示出。如本文所用,「基本上沒有摻雜劑原子」是指所討論的材料表面包含少於5%、2%、1%、或0.5%的摻雜劑原子。
在一些實施例中,對電子裝置進行退火包括尖波退火(spike anneal)、雷射退火(laser anneal)、快速熱退火(rapid thermal anneal)、毫秒退火(millisecond anneal)中的一或多種或其中之組合。在一些實施例中,退火在約1000ºC至約1300ºC或約1150ºC至約1200ºC的範圍內的溫度下發生。
在一些實施例中,將摻雜劑原子驅入到半導體材料25中的深度大於或等於大約1 nm、大於或等於大約2 nm、或大於或等於大約5 nm。在一些實施例中,共形地摻雜的半導體材料在半導體材料的表面具有摻雜劑濃度為大於或等於約120 atoms B/cm3 、大於或等於約220 atoms B/cm3 、或大於或等於約520 atoms B/cm3
參照第2圖,一些實施例涉及形成電子裝置的方法200。第2圖示出了用於由方法200處理的範例基板的截面圖。基板210包括晶體半導體材料220。
在一些實施例中,方法200開始於在晶體半導體材料220上形成非晶硼層230。可以透過任何合適的處理來實行形成非晶硼層,包括但不限於以上概述的用於沉積摻雜劑膜40的處理。
在一些實施例中,方法200透過在非晶硼層230上沉積附加的半導體材料240而繼續。在一些實施例中,附加的半導體材料240是基本上非晶的(amorphous)。
在一些實施例中,方法200透過使基板210退火以使附加的半導體材料240結晶並且使非晶硼層230熔化並形成硼摻雜的晶體半導體材料250而繼續。在一些實施例中,退火處理包括雷射退火。在一些實施例中,硼摻雜的晶體半導體材料250具有與晶體半導體材料220相同的化學計量。在一些未示出的實施例中,方法透過從硼摻雜的晶體半導體材料250形成矽化物來繼續。
在一些實施例中,晶體半導體材料220和附加的半導體材料是由相同材料構成。在一些實施例中,半導體材料包括矽。在一些實施例中,半導體材料包括矽和鍺。
參照第3圖,本公開的另外的實施例針對用於執行本文描述的方法的處理工具900。第3圖示出了根據本公開的一或多個實施例的可用於處理基板的系統900。系統900可以被稱為群集工具。系統900包括其中具有機器人912的中央傳送站910。機器人912被示為單刀片機器人;然而,本領域具有通常知識者將理解到,其他機器人912的配置也在本公開的範疇內。機器人912被配置為在連接到中央傳送站910的腔室之間移動一或多個基板。
至少一個預清潔/緩衝腔室920連接到中央傳送站910。預清潔/緩衝腔室920可包括加熱器、自由基源、或電漿源中的一或多個。預清潔/緩衝腔室920可用作單個半導體基板或用於處理的晶圓的匣的容納區域。預清潔/緩衝腔室920可以實行預清潔處理,或者可以預加熱基板以進行處理,或者可以簡單地作為用於處理序列的階段區域(staging area)。在一些實施例中,有兩個預清潔/緩衝腔室920連接到中央傳送站910。
在第3圖所示的實施例中,預清潔腔室920可以作為工廠介面905和中央傳送站910之間的通過腔室。工廠介面905可包括一或多個機器人906,以將基板從匣移動到預清潔/緩衝腔室920。機器人912可接著將基板從預清潔/緩衝腔室920移動到系統900中的其他腔室。
第一處理腔室930可以連接到中央傳送站910。第一處理腔室930可被配置為異向性蝕刻腔室(anisotropic etching chamber),並且可以與一或多個反應氣體源流體連通,以向第一處理腔室930提供一或多個反應氣體流。透過機器人912通過隔離閥914,可以將基板移入和移出沉積腔室930。
處理腔室940也可以連接到中央傳送站910。在一些實施例中,處理腔室940包括等向性蝕刻腔室(isotropic etching chamber),並且與一或多個反應氣體源流體連通,以將反應氣體流提供至處理腔室940以實行等向性蝕刻處理。透過機器人912通過隔離閥914,可以將基板移入和移出沉積腔室940。
處理腔室945也可以連接到中央轉移站910。在一些實施例中,處理腔室945與處理腔室940相同類型,其被配置以實行與處理腔室940相同的處理。處理腔室940中發生的處理比起處理腔室930中的處理花費更長的時間時,這樣的佈置可以是有用的。
在一些實施例中,處理腔室960連接到中央傳送站910,並且被配置成用作選擇性外延生長腔室。處理腔室960可經配置以實行一多個不同的外延生長處理。
在一些實施例中,異向性蝕刻處理在與等向性蝕刻處理相同的處理腔室中發生。在這種實施例中,處理腔室930和處理腔室960可以被配置為同時在兩個基板上實行蝕刻處理,且處理腔室940和處理腔室945可以被配置以實行選擇性外延生長處理。
在一些實施例中,每個處理室930、940、945、和960被配置以實行處理方法的不同部分。例如,處理腔室930可以被配置為實行異向性蝕刻處理,處理腔室940可以被配置為實行等向性蝕刻處理,處理腔室945可以被配置為計量站或實行第一選擇性外延生長處理,以及處理腔室960可以被配置為實行第二外延生長處理。本領域具有通常知識者將理解到,工具上的各個處理腔室的數量和佈置可以改變,並且第3圖中所示的實施例僅表示一種可能的配置。
在一些實施例中,處理系統900包括一或多個計量站。例如,計量站可以位於預清潔/緩衝腔室920內、中央傳送站910內、或任何單個處理腔室內。計量站可以在系統900內的任何位置,該位置允許在不使基板暴露於氧化環境的情況下測量凹槽的距離。
至少一個控制器950耦接到中央傳送站910、預清潔/緩衝腔室920、處理腔室930、940、945、或960中的一或多個。在一些實施例中,有不止一個控制器950連接到各個腔室或站,並且主要控制處理器耦接到每個單獨的處理器以控制系統900。控制器950可以是任何形式的通用電腦處理器、微控制器、微處理器等其中之一者,其可被使用於工業設置中以供控制各種腔室和子處理器。
至少一個控制器950可以具有處理器952、耦接到處理器952的記憶體954、耦接到處理器952的輸入/輸出裝置956以及支持電路958,以在不同電子元件之間通訊。記憶體954可以包括暫態記憶體(例如,隨機存取記憶體)和非暫態記憶體(例如,儲存)中的一或多個。
處理器的記憶體954或電腦可讀媒體可以是容易獲得的記憶體中的一或多者例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他形式的本端或遠端數位儲存。記憶體954可以保留指令集,指令集可由處理器952操作以控制系統900的參數和元件。支持電路958耦接到處理器952,用於以傳統方式支持處理器。電路可以包括,例如,快取、電源、時鐘電路、輸入/輸出電路、子系統等。
處理通常可以作為軟體常式儲存於記憶體中,該軟體常式在由處理器執行時,使處理腔室實行本公開的處理。軟體常式亦可由第二處理器(未示出)儲存和/或執行,該第二處理器位於由處理器控制的硬體的遠端。本公開的一些或全部方法也可以在硬體中實行。如此,處理可以實施於軟體中並且可以使用電腦系統執行,實施於硬體作為例如,特定應用積體電路或其他類型的硬體實施,或是作為軟體和硬體的組合。當由處理器執行時,軟體常式將通用電腦轉換成控制腔室操作以使處理被實行的專用電腦(控制器)。
在一些實施例中,控制器950具有一或多種配置以執行單獨的處理或子處理以實行該方法。控制器950可以連接到並且配置以操作中間元件以實行方法的功能。例如,控制器950可以連接到並配置成控制氣閥、致動器、馬達、狹縫閥、真空控制等中的一或多個。
一些實施例的控制器950具有選自以下的一或多種配置:在複數個處理腔室和計量站之間移動機器人上的基板的配置;從系統裝載和/或卸載基板的配置;沉積摻雜劑膜的配置;退火基板的配置;以及沉積半導體材料的配置。
貫穿本說明書中對「一個實施例」、「某些實施例」、「一或多個實施例」、或「一實施例」的參照意味著與實施例相連描述的特定特徵、結構、材料、或特性是包括於本公開的至少一個實施例中。因此,在貫穿本說明書中各處,例如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」、或「在一實施例中」的用語的出現不一定參照至本公開的相同的實施例。此外,特定特徵、結構、材料或特性可以以任何合適的方式結合於一或多個實施例中。
儘管已經參考特定實施例描述了本公開,但是本領域具有通常知識者將理解到,所描述的實施例僅是本公開的原理和應用的說明。對於本領域具有通常知識者將是顯而易見的是,在不脫離本公開的精神和範疇的情況下,可以對本公開的方法和設備進行各種修改和變化。因此,本公開可以包括在隨附申請專利範圍及其均等的範圍內的修改和變化。
20:第一表面
25:半導體材料
30:第二表面
35:氧化物材料
40:摻雜劑膜
100:方法
200:方法
210:基板
220:晶體半導體材料
230:非晶硼層
240:半導體材料
250:晶體半導體材料
900:處理工具
905:工廠介面
906:機器人
910:中央傳送站
912:機器人 914:隔離閥 920:預清潔/緩衝腔室 930:沉積腔室 940:處理腔室 945:處理腔室 950:控制器 952:處理器 954:記憶體 956:輸入/輸出裝置 958:支持電路 960:處理腔室
因此,可以詳細瞭解本公開的上述特徵的方法,本公開的更具體的描述,簡要概述於上,可參照實施例,其中一些實施例描繪在隨附圖式中。然而,應當注意,隨附圖式僅示出本公開的典型實施例,且因此不應將其視為限制其範圍,因為本公開可承認其他等效的實施例。
第1圖示出了根據本公開的一或多個實施例的在處理期間的範例基板;
第2圖示出了根據本公開的一或多個實施例的在處理期間的範例基板;和
第3圖示出了根據本公開的一或多個實施例的可用於處理基板的系統。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200:方法
210:基板
220:晶體半導體材料
230:非晶硼層
240:半導體材料
250:晶體半導體材料

Claims (19)

  1. 一種形成一電子裝置的方法,該方法包括以下步驟:藉由一非視線性(non-line of sight)沉積處理,相對於包括一氧化物材料的一第二表面,將一摻雜劑膜選擇性地沉積在包括一半導體材料的一第一表面上,該摻雜劑膜基本上是共形的(conformal);和對該電子裝置退火以將摻雜劑原子從該摻雜劑膜驅入到該半導體材料中,以形成一共形地摻雜的半導體材料而基本上沒有摻雜劑原子被驅入到該氧化物材料中。
  2. 如請求項1所述之方法,其中選擇性地沉積該摻雜劑膜包括一熱分解處理。
  3. 如請求項2所述之方法,其中該熱分解處理包括在約600ºC至約900ºC的一範圍內的一溫度下,將該第一表面和該第二表面暴露於一硼前驅物,並且該摻雜劑膜包括硼。
  4. 如請求項3所述之方法,其中該硼前驅物包括硼烷、乙硼烷、三硼烷、四硼烷或五硼烷中的一或多種。
  5. 如請求項3所述之方法,其中對該電子裝置退火包括尖波退火、雷射退火、快速熱退火或毫秒退 火中的一或多種。
  6. 如請求項5所述之方法,其中退火在大約1000ºC至大約1300ºC的一範圍內的一溫度下發生。
  7. 如請求項3所述之方法,其中該摻雜劑膜具有大約2至大約10個單層(monolayers)的一範圍內的一厚度。
  8. 如請求項3所述之方法,其中該等摻雜劑原子被驅入到該半導體材料中至大於或等於約1nm的一深度。
  9. 如請求項3所述之方法,其中該共形地摻雜的半導體材料在該半導體材料的該第一表面處具有大於或等於約220atoms B/cm3的一摻雜劑濃度。
  10. 如請求項3所述之方法,其中該半導體材料包括矽。
  11. 如請求項3所述之方法,其中該半導體材料是一電晶體的一源極/汲極延伸區。
  12. 如請求項3所述之方法,其中該電子裝置包括一3D NAND裝置,該3D NAND裝置包括複數個交替的第一表面和第二表面。
  13. 如請求項3所述之方法,其中該共形地摻雜的半導體材料在該半導體材料的該第一表面處具有 大於或等於約520atoms B/cm3的一摻雜劑濃度。
  14. 一種形成一電子裝置的方法,該方法包括以下步驟:提供具有一第一表面和一第二表面的一基板,該第一表面包括一半導體材料,且該第二表面包括一氧化物材料;在大約700ºC至大約800ºC的一範圍內的一溫度下,將該第一表面和該第二表面暴露於一硼前驅物,以藉由一非視線性(non-line-of-sight)、熱分解處理來選擇性地沉積一共形摻雜劑膜,該共形摻雜劑膜沉積在該第一表面上更甚於該第二表面,該共形摻雜劑膜包括硼;及在約1150ºC至約1200ºC的一範圍內的一溫度下,以毫秒退火對該電子裝置退火,以將硼原子從該共形摻雜劑膜驅入至該半導體材料,使得該半導體材料被共形地摻雜,而基本上沒有摻雜劑原子被驅入至該氧化物材料中。
  15. 如請求項14所述之方法,其中該硼前驅物包括硼烷(BH3)、乙硼烷(B2H6)、氯硼烷(BH2Cl)、三甲基硼烷(B(CH3)3)或三乙基硼烷(B(C2H5)3)中的一或多種。
  16. 一種形成一電子裝置的方法,該方法包括 以下步驟:藉由一非視線性(non-line of sight)沉積處理,在一晶體半導體材料上形成一非晶摻雜劑層;在該非晶摻雜劑層上沉積附加的半導體材料,該附加的半導體材料基本上是非晶的;且使該電子裝置退火以使該附加的半導體材料結晶,以形成一摻雜的晶體半導體材料。
  17. 如請求項16所述之方法,其中該晶體半導體材料包括矽。
  18. 如請求項16所述之方法,其中該晶體半導體材料進一步包括鍺。
  19. 如請求項16所述之方法,其中對該電子裝置退火包括一雷射退火。
TW108128483A 2018-08-11 2019-08-12 摻雜技術 TWI818059B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862717827P 2018-08-11 2018-08-11
US62/717,827 2018-08-11

Publications (2)

Publication Number Publication Date
TW202012677A TW202012677A (zh) 2020-04-01
TWI818059B true TWI818059B (zh) 2023-10-11

Family

ID=69407074

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108128483A TWI818059B (zh) 2018-08-11 2019-08-12 摻雜技術

Country Status (6)

Country Link
US (2) US11443948B2 (zh)
JP (2) JP2021533573A (zh)
KR (2) KR102500168B1 (zh)
CN (1) CN112567497A (zh)
TW (1) TWI818059B (zh)
WO (1) WO2020036820A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202235670A (zh) * 2020-12-18 2022-09-16 美商應用材料股份有限公司 硼膜的沉積

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102237278A (zh) * 2010-04-28 2011-11-09 台湾积体电路制造股份有限公司 鳍式场效应晶体管的掺杂方法
US20150079773A1 (en) * 2013-09-16 2015-03-19 Globalfoundries Inc. Conformal doping for finfet devices
WO2016048791A1 (en) * 2014-09-24 2016-03-31 Qualcomm Incorporated Method for source-drain junction formation in silicon-germanium finfet and corresponding finfet apparatus
US20170025509A1 (en) * 2015-07-24 2017-01-26 International Business Machines Corporation Strained silicon germanium fin with controlled junction for finfet devices
US20170062221A1 (en) * 2015-08-28 2017-03-02 Varian Semiconductor Equipment Associates, Inc. Liquid Immersion Doping
TW201824394A (zh) * 2016-12-15 2018-07-01 台灣積體電路製造股份有限公司 鰭式場效電晶體的製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4698104A (en) * 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JP3180122B2 (ja) * 1989-07-27 2001-06-25 潤一 西澤 不純物ドーピングの方法
JPH11150249A (ja) 1997-11-16 1999-06-02 Anelva Corp 凹凸状ポリシリコン層の形成方法及びこの方法の実施に使用される基板処理装置並びに半導体メモリデバイス
JPH0888394A (ja) * 1994-09-20 1996-04-02 Seiko Instr Inc 光電変換半導体装置及びその製造方法
KR100612853B1 (ko) 2004-07-21 2006-08-14 삼성전자주식회사 와이어 형태의 실리사이드를 포함하는 Si 계열 물질층및 그 제조방법
JP2010153791A (ja) * 2008-11-20 2010-07-08 National Institute For Materials Science ホウ素ドープ半導体ナノワイヤ及びその製造方法
US9218973B2 (en) * 2012-06-15 2015-12-22 Applied Materials, Inc. Methods of doping substrates with ALD
US9202693B2 (en) * 2013-01-28 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication of ultra-shallow junctions
CN103985755B (zh) * 2013-02-08 2017-10-27 中国科学院微电子研究所 半导体设置及其制造方法
US9525027B2 (en) * 2014-03-13 2016-12-20 Globalfoundries Inc. Lateral bipolar junction transistor having graded SiGe base
US9899224B2 (en) * 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
TWI536313B (zh) 2015-06-30 2016-06-01 財團法人工業技術研究院 車用環景系統調校方法
US9640400B1 (en) 2015-10-15 2017-05-02 Applied Materials, Inc. Conformal doping in 3D si structure using conformal dopant deposition
US9583489B1 (en) * 2016-01-08 2017-02-28 International Business Machines Corporation Solid state diffusion doping for bulk finFET devices
JP6630237B2 (ja) 2016-06-06 2020-01-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
US10037884B2 (en) * 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10163731B2 (en) * 2017-04-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor structure having hybrid substrate and method of fabricating the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102237278A (zh) * 2010-04-28 2011-11-09 台湾积体电路制造股份有限公司 鳍式场效应晶体管的掺杂方法
US20150079773A1 (en) * 2013-09-16 2015-03-19 Globalfoundries Inc. Conformal doping for finfet devices
WO2016048791A1 (en) * 2014-09-24 2016-03-31 Qualcomm Incorporated Method for source-drain junction formation in silicon-germanium finfet and corresponding finfet apparatus
US20170025509A1 (en) * 2015-07-24 2017-01-26 International Business Machines Corporation Strained silicon germanium fin with controlled junction for finfet devices
US20170062221A1 (en) * 2015-08-28 2017-03-02 Varian Semiconductor Equipment Associates, Inc. Liquid Immersion Doping
TW201824394A (zh) * 2016-12-15 2018-07-01 台灣積體電路製造股份有限公司 鰭式場效電晶體的製造方法

Also Published As

Publication number Publication date
CN112567497A (zh) 2021-03-26
JP2021533573A (ja) 2021-12-02
US20220375753A1 (en) 2022-11-24
KR20230026527A (ko) 2023-02-24
KR102644924B1 (ko) 2024-03-06
TW202012677A (zh) 2020-04-01
KR102500168B1 (ko) 2023-02-14
WO2020036820A1 (en) 2020-02-20
US11443948B2 (en) 2022-09-13
KR20210030498A (ko) 2021-03-17
US20200051818A1 (en) 2020-02-13
JP2024023287A (ja) 2024-02-21

Similar Documents

Publication Publication Date Title
TWI430335B (zh) 選擇性沉積磊晶層的方法
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
KR102253546B1 (ko) 도핑된 게르마늄 형성 방법
WO2017065880A1 (en) Conformal doping in 3d si structures using conformal dopant deposition
CN112385046A (zh) 利用先进控制的整合cmos源极漏极形成
JP2024023287A (ja) ドーピング技術
TWI807639B (zh) 包括石墨烯擴散阻障的電子元件及形成此電子元件的方法
CN111194361B (zh) 用于金属沉积的作为成核层的保形的掺杂的非晶硅
TW202035773A (zh) 用於金屬矽化物沉積的方法及設備
TW200416845A (en) Manufacturing method for semiconductor integrated circuit device
US11705335B2 (en) Conformal high concentration boron doping of semiconductors
US10991586B2 (en) In-situ tungsten deposition without barrier layer
TW202032658A (zh) 形成含矽層的方法
TW201829819A (zh) 用於cmos接觸及阻障層之方法與材料
TWI821319B (zh) 利用先進控制方式的整合cmos源極汲極形成
TWI840569B (zh) 低k介電質之自形成阻障層
JP2023516866A (ja) トレンチ構造内の選択的タングステン堆積
CN113463066A (zh) 在没有阻挡层的情况下的原位钨沉积