JP2019534384A - パターニングのための膜の堆積及び処理 - Google Patents

パターニングのための膜の堆積及び処理 Download PDF

Info

Publication number
JP2019534384A
JP2019534384A JP2019523723A JP2019523723A JP2019534384A JP 2019534384 A JP2019534384 A JP 2019534384A JP 2019523723 A JP2019523723 A JP 2019523723A JP 2019523723 A JP2019523723 A JP 2019523723A JP 2019534384 A JP2019534384 A JP 2019534384A
Authority
JP
Japan
Prior art keywords
film
substrate surface
group
initial
membrane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2019523723A
Other languages
English (en)
Inventor
アタシ バス,
アタシ バス,
アブヒジット バス マリック,
アブヒジット バス マリック,
ツーチン トアン,
ツーチン トアン,
シュリーニヴァース ガンディコッタ,
シュリーニヴァース ガンディコッタ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019534384A publication Critical patent/JP2019534384A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

基板表面のトレンチ内に初期膜を形成するために膜材料を堆積させることを含む、方法について説明している。この膜は、膜を膨張させて基板表面を越えて成長させるよう、処理される。【選択図】図8B

Description

本開示は概して、薄膜を堆積させ、処理する方法に関する。詳細には、本開示は、基板のトレンチを充填するためのプロセスに関する。
半導体業界ではチップの開発が急速に進んでおり、かかるチップでは、単位面積当たりの機能性を増大させるために、トランジスタの寸法がどんどん縮小している。デバイスの寸法が縮小し続け、デバイス間の間隙/スペースもそれに倣うにつれて、デバイス同士を互いから物理的に隔離することは、ますます困難になっている。不規則に成形されることが多いデバイス間の高アスペクト比のトレンチ/スペース/間隙を、高品質の誘電材料で充填することは、間隙充填、ハードマスク、及びスペーサを適用することを含む既存の方法を用いて実装する上で、より困難な課題になりつつある。選択的堆積の方法は、典型的には、基板にマスク材料を堆積させることと、マスク材料をパターニングしてパターニング済みのマスクを形成することとを、含む。マスクのパターニングの後には、パターニング済みのマスクを通じて基板の領域が露出されうる。パターニング済みのマスクは、基板の非埋没(non−implanted)領域を露出させるために基板から除去されてよく、材料は、基板の選択された領域に、選択的に堆積されうる。
当技術分野において、より小さな限界寸法を有するチップ設計のために、新たな方法が必要とされている。加えて、ハードマスク及びスペーサの用途のための高品質の金属酸化膜だけでなく、基板上にパターニング済みの膜を形成するための方法が、目下必要とされている。
本開示の一又は複数の実施形態は、処理方法を対象とする。一実施形態は、少なくとも1つのトレンチを有する基板表面を提供することであって、この少なくとも1つのトレンチは、基板表面から底面に至るある深さにわたって延在し、かつ、第1側壁及び第2側壁によって画定された幅を有する、基板表面を提供すること、及び、基板表面上ではなくトレンチ内に膜材料体積を有する初期膜を形成するために、膜材料を選択的に堆積させることであって、この膜材料は、2を上回るピリング‐ベドワース比を有し、かつ、Co、Cr、Fe、Mn、Nb、Os、Ta、U、W、及びVからなる群から選択された材料を含む、膜材料を選択的に堆積させることに、関連する。この一実施形態の方法は、膜材料体積を膨張させて基板表面を越えて延在する膨張膜を提供するために、初期膜を処理することを更に含む。初期膜を処理することは、初期膜を酸化環境又は窒化環境に曝露することを含みうる。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られる。一部の実施形態は、付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面は本開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本開示の一又は複数の実施形態による基板フィーチャの断面図を示す。 本開示の一又は複数の実施形態による間隙充填プロセスの概略断面図を示す。 本開示の一又は複数の実施形態による酸化膜の断面図を示す。 本開示の一又は複数の実施形態による酸化膜の断面図を示す。 本開示の一又は複数の実施形態によるプロセスの概略断面図を示す。 本開示の一又は複数の実施形態によるプロセスの概略断面図を示す。 本開示の一又は複数の実施形態によるプロセスの概略断面図を示す。 本開示の一又は複数の実施形態によるプロセスの概略断面図を示す。
本開示のいくつかの例示的な実施形態について説明する前に、本開示は、以下の説明に明記される構成又はプロセスステップの詳細事項に限定されるわけではないと、理解されたい。本開示は、他の実施形態も可能なものであり、様々な方式で実践又は実行されることが可能である。
本書において「基板(substrate)」とは、製造プロセス内で表面上に膜処理が実施される、任意の基板、又は基板上に形成された任意の材料面のことである。例えば、処理が実施されうる基板表面は、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電材料といった、他の任意の材料を含む。基板は、半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨し、エッチングし、還元し、酸化させ、ヒドロキシル化し、アニールし、UV硬化させ、電子ビーム硬化させ、かつ/又はベイクするために、基板は前処理プロセスに曝露されうる。基板自体の表面上に直接膜処理を行うことに加えて、本開示では、開示されている膜処理ステップのうちの任意のものが、より詳細に後述するように、基板に形成された下部層に対して、実施されることもある。「基板表面(substrate surface)」という語は、文脈によって示される下部層を含むことを意図している。ゆえに、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合、新たに堆積される膜/層の露出面が基板表面となる。
本開示の一又は複数の実施形態は、共形の、非共形の、かつ/又は低アスペクト比から高アスペクト比に至る、任意の間隙/トレンチ/ボイドを充填する用途のために、金属酸化膜を堆積させるための方法を、対象とする。本開示の実施形態は、小寸法を有する高アスペクト比(AR)構造体における膜(例えば金属酸化膜)を堆積させる方法を、有利に提供する。本開示の一部の実施形態は、間隙内に筋目(seam)を形成することなく間隙を充填する方法を、有利に提供する。本開示の一又は複数の実施形態は、自己整合ビアを形成する方法を有利に提供する。
図1は、フィーチャ110を有する基板100の部分断面図を示している。この図は例示のために単一のフィーチャを有する基板を示しているが、当業者は、1を上回る数のフィーチャが存在しうることを理解しよう。フィーチャ110の形状は、トレンチ及び円筒形ビアを含むがそれらに限定されるわけではない、任意の好適な形状でありうる。特定の実施形態では、フィーチャ110はトレンチである。このように使用する場合、「フィーチャ(feature)」という語は、表面に意図的に形成された、任意の不規則形状物を意味する。フィーチャの好適な例は、上部と、2つの側壁と、底部とを有するトレンチ、上部と、表面から上向きに延在する2つの側壁とを有するピーク部、及び、底部が抜けている、表面から下向きに延在する側壁を有するビアを含むが、それらに限定されるわけではない。フィーチャ又はトレンチは、任意の好適なアスペクト比(フィーチャの深さとフィーチャの幅との比率)を有しうる。一部の実施形態では、アスペクト比は、約5:1、10:1、15:1、20:1、25:1、30:1、35:1、又は40:1以上である。
基板100は基板表面120を有する。少なくとも1つのフィーチャ110により、基板表面120に開口が形成される。フィーチャ110は、基板表面120から底面112に至る深さDまで延在している。フィーチャ110は、フィーチャ110の幅Wを画定する、第1側壁114及び第2側壁116を有する。側壁及び底部によって形成された空きエリアは、間隙とも称される。
図2A及び図2Bを参照するに、基板100が処理のために提供される。このように使用する場合、「提供され(provided)」という語は、基板が更なる処理のためにある位置又は環境に置かれることを意味する。
膜130は、基板表面120、並びに、フィーチャ110の壁及び底面の表面上に形成される。膜130は、化学気相堆積、プラズマ化学気相堆積、原子層堆積、プラズマ原子層堆積、及び/又は物理的気相堆積を含むがそれらに限定されるわけではない、任意の好適なプロセスによって形成される、任意の好適な膜でありうる。一部の実施形態では、膜130は、原子層堆積又はプラズマ原子層堆積によって形成される。
一部の実施形態では、膜130は、金属膜又は金属含有膜である。好適な金属膜は、2を上回る、2.25を上回る、又は2.5を上回るピリング‐ベドワース比を有する金属を含むが、それらに限定されるわけではない。ピリング‐ベドワース比とは、金属酸化物又は金属窒化物の基本セル(elementary cell)の体積と、対応する金属(この金属から酸化物又は窒化物が形成される)の基本セルの体積との比率のことである。ピリング‐ベドワース比は、Voxide/Vmetal又はVnitride/Vmetalと定義され、ここでVは体積である。金属酸化物のピリング‐ベドワース比を決定する上で、Voxideは、金属酸化物の分子質量に金属の密度を乗じたものと等しく、Vmetalは、酸化物の分子1つあたりの金属原子の数に金属の原子質量を乗じたものに、酸化物の密度を乗じたものと等しい。金属窒化物のピリング‐ベドワース比を決定する上で、Vnitrideは、金属窒化物の分子質量に金属の密度を乗じたものと等しく、Vmetalは、窒化物の分子1つあたりの金属原子の数に金属の原子質量を乗じたものに、窒化物の密度を乗じたものと等しい。かかる膜の例は、Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr、Os、U、及び/又はLaのうちの一又は複数を含む。一部の実施形態では、金属は、Co、Fe、Mn、Nb、Os、Ta、U、及びVからなる群から選択される。一部の実施形態では、金属は、2.5を上回るピリング‐ベドワース比を有し、かつ、Mo、Os、及びVからなる群から選択される。一部の特定の実施形態では、金属膜はタングステンを含む。一部の特定の実施形態では、金属膜はタングステンを含まない。好適な金属含有膜は、金属膜の誘導体を含む。好適な金属膜の誘導体は、窒化物、ホウ化物、炭化物、酸窒化物、酸ホウ化物、酸炭化物、炭窒化物、ホウ炭化物、ホウ窒化物、ホウ炭窒化物、ホウ酸炭窒化物、酸炭窒化物、ホウ酸炭化物、及びホウ酸窒化物を含むが、それらに限定されるわけではない。当業者は、堆積された金属膜が金属膜とともに不定比量の原子を有しうることを、理解しよう。例えば、「WN」と示される膜は、種々の量のタングステン及び窒素を有しうる。WN膜は、例えば、90原子%のタングステンでありうる。窒化タングステン膜を表す「WN」の使用は、膜がタングステン原子と窒素原子とを含むことを意味するのであって、膜をある特定の組成に限定すると解釈されるべきではない一部の実施形態では、膜は、実質的に所定の原子からなる。例えば、実質的にWNからなる膜とは、膜の組成が、約95%、98%、又は99%以上、タングステン原子と窒素原子であることを意味する。一部の実施形態では、膜130はタングステンを含む。一部の実施形態では、膜130は実質的にタングステンからなる。一又は複数の実施形態では、膜はチタンを含む。一部の実施形態では、膜は実質的にチタン又は窒化チタンからなる。
一部の実施形態では、膜130は、少なくとも1つのフィーチャ110上に、共形に形成される。本書において、「共形(conformal)」又は「共形に(conformally)」という語は、膜の平均厚に対して1%未満のばらつきしかない厚さを有して露出面に付着し、露出面を均一に覆う、層のことを表わす。例えば、1000Åの厚さの膜であれば、厚さに10Å未満のばらつきしか有しない。この厚さ及びばらつきは、凹部のエッジ、角部、側部、及び底部を含む。例えば、本開示の様々な実施形態において、ALDによって堆積された共形層があればそれは、入り組んだ表面上に、実質的に均一な厚さの、堆積領域全体にわたる被覆を提供する。
一部の実施形態では、膜130は連続した膜である。本書において、「連続した(continuous)」という語は、堆積層の下にある材料を露出させる間隙又はベアスポット(bare spot)のない、露出面全体を覆う層のことを表わす。連続した層は、膜の全体表面積の約1%未満の表面積しか有しないものであれば、間隙又はベアスポットを有しうる。
一部の実施形態では、膜130は、フィーチャ110の中に、実質的に筋目なしに形成される。一部の実施形態では、フィーチャ110の幅Wの中に筋目115が形成されうる。筋目115は、フィーチャ110の壁114と116との間に形成される、任意の間隙、スペース、又はボイドでありうる。
膜130は次いで、フィーチャを充填し、膜130がフィーチャから延在することを可能にするために、体積膨張を引き起こすよう膨張させられうる。図2Bに示しているように、膜を膨張させることで、元の膜130の体積膨張が引き起こされて、フィーチャが充填される。膜130の膨張は、約10%〜約1000%の範囲内、又は約50%〜約800%の範囲内、又は約100%〜約700%の範囲内でありうる。一部の実施形態では、膜130は、約150%、200%、250%、300%、又は350%以上の量だけ、膨張する。一部の実施形態では、膜130は、約300%〜約400%の範囲内の量だけ、膨張する。図2Bに示しているように、膜130の膨張により、筋目115が充填されることになる。
一部の実施形態では、膜130は、金属膜又は金属含有膜を金属酸化膜に変換するために、酸化剤又は酸化条件に曝露することによって膨張させられる。酸化剤は、O、O、NO、HO、H、CO、CO、NH、N/Ar、N/He、N/Ar/He、及びこれらの組み合わせを含むがそれらに限定されるわけではない、任意の好適な酸化剤でありうる。一部の実施形態では、酸化条件は、熱酸化、プラズマ酸化、遠隔プラズマ酸化、マイクロ波、及び高周波(例えばICP、CCP)を含む。
一部の実施形態では、膜130は、金属膜又は金属含有膜を金属窒化膜に変換するために、窒化剤又は窒化条件に曝露することによって膨張させられる。窒化剤は、アンモニア、ヒドラジン、NO、N/Arプラズマ、N/Heプラズマ、N/Ar/Heプラズマ、及びこれらの組み合わせを含むがそれらに限定されるわけではない、任意の好適な窒化剤でありうる。一部の実施形態では、窒化条件は、熱窒化、プラズマ窒化、遠隔プラズマ窒化、マイクロ波、及び高周波(例えばICP、CCP)を含む。
一部の実施形態では、膜130は、金属膜又は金属含有膜を金属ケイ化膜に変換するために、ケイ化剤又はケイ化条件に曝露することによって膨張させられる。ケイ化剤は、シラン、ジシラン、トリシラン、テトラシラン、ペンタシラン、ヘキサシラン、トリメチルシラン、トリメチルシリル置換基を有する化合物、及びこれらの組み合わせを含むがそれらに限定されるわけではない、任意の好適なケイ化剤でありうる。一部の実施形態では、ケイ化条件は、熱ケイ化、プラズマケイ化、遠隔プラズマケイ化、マイクロ波、及び高周波(例えばICP、CCP)を含む。
一部の実施形態では、膜130は、金属膜又は金属含有膜を金属ゲルマニウム化膜に変換するために、ゲルマニウム化剤又ゲルマニウム化条件に曝露することによって膨張させられる。ゲルマニウム化剤は、ゲルマン、ジゲルマン、トリゲルマン、テトラゲルマン、ペンタゲルマン、ヘキサゲルマン、トリメチルゲルマニウム、トリメチルゲルマニル置換基を有する化合物、及びこれらの組み合わせを含むがそれらに限定されるわけではない、任意の好適なゲルマニウム化剤でありうる。一部の実施形態では、ゲルマニウム化条件は、熱ゲルマニウム化、プラズマゲルマニウム化、遠隔プラズマゲルマニウム化、マイクロ波、及び高周波(例えばICP、CCP)を含む。
膜の処理、又は膜130の膨張は、例えば膜の組成及び膨張剤に応じて、任意の好適な温度で行われうる。一部の実施形態では、膜膨張は、約25℃〜約1100℃の範囲内の温度で行われる。一部の実施形態では、膨張は、約250℃、約300℃、約350℃、約400℃、約450℃、約500℃、又は約550℃以上の温度で行われる。
一部の実施形態では、膜130は、約25Å〜約200Åの範囲内、又は約50Å〜約150Åの範囲内の厚さに堆積される。一又は複数の実施形態では、膜130は、約50Åの厚さに堆積され、膜には実質的に筋目が形成されない。筋目の形成は、フィーチャが膜で充填される前の、膜の厚さがフィーチャ110の上部に迫った(closes on)ところで生じる。一部の実施形態では、基板表面は、少なくとも1つのフィーチャの両側壁間に筋目を伴う膜を有する。このように使用する場合、「間(between)」という語は、筋目とフィーチャの側壁との間の筋目の両側に、いくらかの膜が存在することを意味する。筋目は、両側壁間の正確に中央にあると限定されるわけではない。
例えば酸化による膜130の膨張中に、基板表面120の上に間隙140が形成される。間隙140は、酸化環境と一致する、又は異なる組成のものでありうる、内部成分を有しうる。例えば、窒素プラズマを使用する酸化環境では、窒素環境を有する間隙140が形成されうる。膨張剤は、間隙140のサイズ及び内部成分に影響を与えうる。例えば、膜を膨張させるのに窒化剤が使用される場合、間隙140は窒素を含みうる。
図3に示しているように、膨張中、フィーチャ形状がフィーチャの上でもそのままに維持されることにより、膜130はフィーチャ110からまっすぐ上に成長する。このように使用する場合、「まっすぐ上(straightup)」とは、膜により間隙140の周囲に表面144が形成されること、及び、表面144のフィーチャ側壁114に隣接した部分が側壁114と実質的に同一の平面上にあることを、意味する。表面144は側壁114と同一平面上にあり、ここで、側壁114と表面144との接合部に形成される角度は±10°である。この種の膨張は、等方的に成長してマッシュルーム状の上部を形成すると予想されていた。膜130が膨張してまっすぐのセグメント142を形成することは、予想外であった。
一部の実施形態では、膨張に先立って、膜130がドーパントでドープされる。ドーパントは、膜130の形成と同時に、又は、膜の堆積と連続する別個のプロセスにおいて、膜130に取り込まれうる。例えば、膜130をドーパントでドープする前に、膜130の堆積が行われてよく、膜130のドーピングは、同じプロセスチャンバと異なるプロセスチャンバのいずれかにおける、別個のプロセスにおいて行われる。一部の実施形態では、膜130の堆積は、ドーピングと一緒に単一のプロセスにおいて行われる。例えば、膜130を形成するために、膜前駆体とドーパントとを一緒に処理チャンバに流入させうる。
一部の実施形態は、オプションの処理プロセスを含む。この処理プロセスでは、膜の何らかのパラメータを改善するために、膜130が処理される。一部の実施形態では、処理プロセスは、膜をアニーリングすることを含む。一部の実施形態では、処理は、堆積及び/又は還元に使用されるのと同じプロセスチャンバでの、インシトゥ(その場)のアニールによって実施されうる。好適なアニーリングプロセスは、急速熱処理(RTP)若しくは急速熱アニール(RTA)、スパイクアニール、又はUV硬化若しくは電子ビーム硬化、及び/又はレーザアニールを含むが、それらに限定されるわけではない。アニール温度は、約500℃〜900℃の範囲内でありうる。アニール中の環境の組成は、H、Ar、He、N、NH、SiHなどのうちの一又は複数を含みうる。アニール中の圧力は、約100mTorr〜約1atmの範囲内でありうる。
プロセスは酸化として言及されうるが、当業者は、本開示が膜を膨張させるための酸化反応に限定されないことを理解しよう。様々な実施形態を説明するために酸化反応を使用しているのは、便宜上のためだけであり、本開示の範囲を限定するものではない。図4を参照するに、一部の実施形態では、フィーチャ110の上部(まっすぐなセグメント142)における酸化量は、底部131におけるものよりも大きくなる。一部の実施形態では、フィーチャ110の底部131において、膜130の酸化はほとんど又は全くない。図5Aから図5Cは、フィーチャ110(例えばトレンチ)の底部に膜を堆積させる方法を示している。膜130は、任意の好適な技法によって堆積される。例えば、図5では、タングステン膜が、原子層堆積によって基板に堆積されうる。図5Bの膜130は、酸化され、膨張させられて、フィーチャ110を充填している。膜130の上部142は、堆積金属の酸化物(例えば酸化タングステン)を含み、膜130の底部131は、未酸化のまま(例えばタングステン金属)である。基板から材料を選択的にエッチングするために、上部142と底部131との間の差異が使用されうる。図5Cに示しているように、膜130が、酸化物に対して選択的なエッチングプロセスのために堆積される場合、上部142の酸化膜は除去され、底部131の金属膜が残りうる。
図6Aから図6Cは、本開示の別の実施形態を示している。図6Aには、少なくとも1つのフィーチャ(例えばトレンチ)110を有する基板100が示されている。図6Bに示しているように、金属膜130がフィーチャ110の底部に堆積される。図6Cでは、膜130が、酸化されることにより、膨張してフィーチャ110を充填しうる。
図7Aから図7Dは、自己整合ビアが形成される、本開示の別の実施形態を示している。図7Aでは、酸化膜130を有する基板が提供される。図7Bに示しているように、基板100の表面120から膜130の上部を除去するために、研磨又はエッチングのプロセスが実施されうる。膜130は、フィーチャ110を充填したまま、フィーチャ110の中に残っている。図7Cに示しているように、膜130は次いで酸化されて、膜130の上向きの成長が引き起こされうる。膜130の側部がフィーチャ110の側部と実質的に同一平面上に保たれることにより、フィーチャ110から延在する柱状体(pillars)ができる。材料層160が、基板100の表面120上に堆積される。図7Dに示しているように、膜130が(例えばエッチングによって)除去されて、フィーチャ110は、フィーチャ110の上で材料層160と位置が合った状態で残される。
ここで図8A及び図8Bを参照するに、別の実施形態が示されており、この実施形態では、処理方法が、基板表面110から底面112に至る深さ(D)にわたって延在するトレンチの形態の少なくとも1つのフィーチャ120を含む表面110を有する、基板100を提供することを含む。トレンチ120は、第1側壁114及び第2側壁116によって画定された幅(W)を有する。図示している実施形態により、処理方法は、基板表面110上ではなくトレンチ120内に膜材料体積を有する初期膜130を形成するために、膜材料を選択的に堆積させることであって、この膜材料は、2を上回るピリング‐ベドワース比を有し、かつ、Co、Cr、Fe、Mn、Nb、Os、Ta、U、W、及びVからなる群から選択された材料を含む、膜材料を選択的に堆積させることを含む。この処理方法は、膜材料体積を膨張させて基板表面110を越えて延在する膨張膜140を提供するために、初期膜130を処理することを、更に含む。一実施形態では、初期膜130は、トレンチの容積の少なくとも10%を充填する。他の実施形態では、初期膜130は、トレンチの容積の少なくとも15%、20%、25%、30%、35%、40%、45%、50%、55%、60%、65%、70%、75%、80%、85%、90%、95%、又は100%を充填する。図示している実施形態では、初期膜は、第1側壁114から第2側壁まで延在する。一又は複数の実施形態では、初期膜を処理することにより、膜体積は、少なくとも10%、20%、30%、40%、50%、60%、70%、80%、90%、100%、150%、200%、250%、300%、350%、又は400%だけ、増大することになる。図8Eに示しているように、膨張膜140により、トレンチ120から延在する柱状体150が形成される。複数のトレンチ120(図示せず)が、約2を超えるピリング‐ベドワース比を有する金属で充填され、初期膜体積を膨張させるよう処理されると、複数の柱状体150が形成されて、マスクを使用せずにパターンが提供されうる。
ある特定の実施形態では、膜材料は、Co、Fe、Mn、Nb、Os、Ta、U、及びVからなる群から選択される。一実施形態では、初期膜を処理することは、初期膜を酸化環境に曝露することを含む。初期膜を処理することが初期膜を酸化環境に曝露することを含む実施形態では、膨張膜は、CoO、Fe、Fe、MnO、Mn、Mn、MoO、Nb、Ta、OsO、UO、及びVからなる群から選択された材料を含む。
一部の実施形態では、膜材料は、2.5を上回るピリング‐ベドワース比を有し、かつ、Mo、Os、及びVからなる群から選択される。膜材料が2.5を上回るピリング‐ベドワース比を有し、かつ、Mo、Os、及びVからなる群から選択される、一部の実施形態では、初期膜を処理することは、初期膜を酸化環境に曝露することを含む。かかる実施形態では、膨張膜は、MoO、OsO、及びVからなる群から選択された材料を含む。
一部の実施形態では、初期膜を処理することは、初期膜を窒化環境に曝露することを含む。初期膜が窒化環境に曝露される実施形態では、膜材料は、Cr、Mo、及びOsからなる群から選択される。かかる実施形態では、膨張膜は、CrN、MoN、及びOsNからなる群から選択された材料を含む。初期膜の窒化が行われるその他の実施形態では、ピリング‐ベドワース比は1.5を上回り、初期膜の膜材料は、Cr、Mo、OS、Co、Cu、Nb、NI、Rh、Sr、Ta、Ru、及びWからなる群から選択された金属である。
一部の実施形態では、初期膜を処理することは、初期膜を、O、O、NO、HO、H、CO、CO、NH、N/Ar、N/He、若しくはN/Ar/Heのうちの一又は複数を含む酸化剤、及び/又は、アンモニア、ヒドラジン、NO、若しくは窒素プラズマのうちの一又は複数を含む窒化剤に、曝露することを含む。
一部の実施形態では、初期膜の処理は、約300℃未満又は450℃未満の温度で行われる。
別の実施形態は、少なくとも1つのトレンチを有する基板表面を提供することであって、この少なくとも1つのトレンチは、基板表面から底面に至るある深さにわたって延在し、かつ、第1側壁及び第2側壁によって画定された幅を有する、基板表面を提供することと、基板表面上ではなくトレンチ内に膜材料体積を有する初期膜を形成するために、膜材料を選択的に堆積させることであって、この膜材料は、2を上回るピリング‐ベドワース比を有し、かつ、Co、Cr、Fe、Mn、Nb、Os、Ta、U、W、及びVからなる群から選択された材料を含む、膜材料を選択的に堆積させることと、基板表面を越えて延在する膨張膜を提供するために、Co、Cr、Fe、Mn、Nb、Os、Ta、U、W、又はVからなる群から選択された金属の窒化物を形成して膜材料体積を膨張させるよう、初期膜を処理することとを含む、処理方法に関連する。ある特定の実施形態では、膜材料は、Cr、Mo、及びOsからなる群から選択された金属を含み、膨張膜は、CrN、MoN、及びOsNからなる群から選択された材料を含む。
別の実施形態は、少なくとも1つのトレンチを有する基板表面を提供することであって、この少なくとも1つのトレンチは、基板表面から底面に至るある深さにわたって延在し、かつ、第1側壁及び第2側壁によって画定された幅を有する、基板表面を提供することと、基板表面上ではなくトレンチ内に膜材料体積を有する初期膜を形成するために、膜材料を選択的に堆積させることであって、この膜材料は、2を上回るピリング‐ベドワース比を有し、かつ、Co、Cr、Fe、Mn、Nb、Os、Ta、U、及びVからなる群から選択された材料を含む、膜材料を選択的に堆積させることと、基板表面を越えて延在する膨張膜を提供するために、Co、Cr、Fe、Mn、Nb、Os、Ta、U、又はVからなる群から選択された金属の酸化物を形成して膜材料体積を膨張させるよう、初期膜を処理することとを含む、処理方法に関連する。特定の実施形態では、膜材料は、Co、Fe、Mn、Nb、Os、Ta、U、及びVからなる群から選択された金属を含み、膨張膜は、MoO、OsO、及びVからなる群から選択された材料を含む。ある特定の実施形態では、初期膜の処理は、約400℃を上回る温度で行われる。ある特定の実施形態では、初期膜の処理は、約350℃を上回る温度で行われる。
図4から図8Bに示している実施形態の酸化反応は、窒化反応、ケイ化反応、又はゲルマニウム化反応でもありうる。当業者は、フィーチャの中の膜を膨張させるため、又は膜をまっすぐ上に成長させるために、他のプロセス及び反応が使用されうることを理解しよう。
一又は複数の実施形態により、基板は、層が形成される前及び/又は形成された後に処理を経る。この処理は、同じチャンバ内、又は、一又は複数の別個の処理チャンバ内で実施されうる。一部の実施形態では、基板は、第1チャンバから、更なる処理のために、別個の第2チャンバに動かされる。基板は、第1チャンバから別個の処理チャンバに直接動かされうるか、又は、第1チャンバから一又は複数の移送チャンバに動かされ、次いで別個の処理チャンバへと動かされうる。したがって、処理装置は、移送ステーションと連通している複数のチャンバを備えうる。この種の装置は「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」などと称されうる。
概括的に、クラスタツールは、基板の中心決め及び配向決め、脱ガス、アニーリング、堆積、並びに/又は、エッチングを含む様々な機能を実施する複数のチャンバを備える、モジュールシステムである。一又は複数の実施形態により、クラスタツールは、少なくとも、第1チャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することが可能なロボットを、収納しうる。移送チャンバは、典型的には真空条件に維持され、1つのチャンバから、別のチャンバに、かつ/又はクラスタツールのフロントエンドに配置されたロードロックチャンバに、基板を往復搬送するための中間ステージを提供する。本発明に適合しうる2つの周知のクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、両方とも、カリフォルニア州Santa ClaraのApplied Materials, Inc.から入手可能である。しかし、実際のチャンバの配置及び組み合わせは、本書に記載のプロセスの特定のステップを実施するという目的のために、変更されうる。使用されうる他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、熱処理(RTP)、プラズマ窒化、脱ガス、配向決め、ヒドロキシル化、及びその他の基板プロセスを含むが、それらに限定されるわけではない。クラスタツールのチャンバ内でプロセスを実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染を回避しうる。
一又は複数の実施形態により、基板は、連続的に真空条件又は「ロードロック(load lock)」条件のもとにあり、1つのチャンバから次のチャンバに動かされる時に周囲空気に曝露されない。ゆえに、移送チャンバは、真空下にあり、真空圧力のもとで「ポンプダウン(pumped down)」される。処理チャンバ又は移送チャンバの中には不活性ガスが存在しうる。一部の実施形態では、反応体の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。一又は複数の実施形態により、反応体が堆積チャンバから移送チャンバにかつ/又は更なる処理チャンバに移動することを防止するために、堆積チャンバの出口部にパージガスが注入される。ゆえに、不活性ガスの流れがチャンバの出口部にカーテンを形成する。
基板は、枚葉式基板堆積チャンバ内で処理されてよく、この枚葉式基板堆積チャンバでは、単一の基板が、別の基板が処理される前に、ローディングされ、処理され、かつアンローディングされる。基板は、コンベヤシステムに類似した連続様態で処理されることも可能であり、この場合、複数の基板が、チャンバの第1部分に個々にローディングされ、チャンバを通って移動し、チャンバの第2部分からアンローディングされる。チャンバ及び関連するコンベヤシステムの形状により、直線経路又は湾曲経路が形成されうる。加えて、処理チャンバはカルーセルであってよく、このカルーセルにおいて、複数の基板が、中心軸の周りで動かされ、かつ、カルーセル経路全体を通じて堆積、エッチング、アニーリング、洗浄などのプロセスに曝露される。
処理中に、基板は加熱されうるか、又は冷却されうる。かかる加熱又は冷却は、基板支持体の温度を変化させること、及び、基板表面に加熱された又は冷却されたガスを流すことを含むが、それらに限定されるわけではない、任意の好適な手段によって達成されうる。一部の実施形態では、基板支持体は、伝導によって基板温度を変化させるよう制御されうる、ヒータ/クーラを含む。一又は複数の実施形態では、基板温度を局所的に変化させるために、用いられるガス(反応性ガスと不活性ガスのいずれか)が加熱されるか、又は冷却される。一部の実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラは、基板表面に隣接するように、チャンバの中に配置される。
基板は、処理中に、静止していることも、回転することも可能である。回転する基板は、連続して、又は非連続に段階的に、回転しうる。例えば、基板は、プロセス全体を通じてずっと回転しうるか、又は、種々の反応性ガス若しくはパージガスへの曝露と曝露との間に、少しずつ回転しうる。処理中に基板を(連続的に、或いは段階的に)回転させることは、例えばガス流形状の局所的可変性の影響を最小化することによって、堆積又はエッチングをより均一なものにするのに役立ちうる。
この明細書全体を通じての、「一実施形態(one embodiment)」、「ある種の実施形態(certain embodiments)」、「一又は複数の実施形態(one or more embodiments)」、又は、「実施形態(an embodiment)」に対する言及は、その実施形態に関連して説明されている、ある特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体の様々な箇所における「一又は複数の実施形態で」、「ある種の実施形態で」、「一実施形態で」、又は「実施形態において」などの表現の表出は、必ずしも、本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特性は、一又は複数の実施形態において、任意の好適な様態で組み合わされうる。
本書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は本開示の原理及び用途の例示にすぎないことを、理解されたい。本開示の本質及び範囲から逸脱しなければ、本開示の方法及び装置に対して様々な改変及び変形を行いうることが、当業者には自明となろう。ゆえに、本開示は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (15)

  1. 少なくとも1つのトレンチを有する基板表面を提供することであって、前記少なくとも1つのトレンチが、前記基板表面から底面に至るある深さにわたって延在し、かつ、第1側壁及び第2側壁によって画定された幅を有する、基板表面を提供することと、
    前記基板表面上ではなく前記トレンチ内に膜材料体積を有する初期膜を形成するために、膜材料を選択的に堆積させることであって、前記膜材料が、2を上回るピリング‐ベドワース比を有し、かつ、Co、Cr、Fe、Mn、Nb、Os、Ta、U、W、及びVからなる群から選択された材料を含む、膜材料を選択的に堆積させることと、
    前記膜材料体積を膨張させて、前記基板表面を越えて延在する膨張膜を提供するために、前記初期膜を処理することとを含む、
    処理方法。
  2. 前記膜材料が、Co、Fe、Mn、Nb、Os、Ta、U、及びVからなる群から選択される、請求項1に記載の方法。
  3. 前記初期膜を処理することが、前記初期膜を酸化環境に曝露することを含む、請求項2に記載の方法。
  4. 前記膨張膜が、CoO、Fe、Fe、MnO、Mn、Mn、MoO、Nb、Ta、OsO、UO、及びVからなる群から選択された材料を含む、請求項3に記載の方法。
  5. 前記膜材料が、2.5を上回るピリング‐ベドワース比を有し、かつ、Mo、Os、及びVからなる群から選択される、請求項1に記載の方法。
  6. 前記初期膜を処理することが、前記初期膜を酸化環境に曝露することを含む、請求項4に記載の方法。
  7. 前記膨張膜が、MoO、OsO、及びVからなる群から選択された材料を含む、請求項5に記載の方法。
  8. 前記初期膜を処理することが、前記初期膜を窒化環境に曝露することを含む、請求項1に記載の方法。
  9. 前記膜材料が、Cr、Mo、及びOsからなる群から選択される、請求項8に記載の方法。
  10. 前記膨張膜が、CrN、MoN、及びOsNからなる群から選択された材料を含む、請求項8に記載の方法。
  11. 前記初期膜を処理することが、前記初期膜を、O、O、NO、HO、H、CO、CO、NH、N/Ar、N/He、若しくはN/Ar/Heのうちの一又は複数を含む酸化剤、及び/又は、アンモニア、ヒドラジン、NO、若しくは窒素プラズマのうちの一又は複数を含む窒化剤に、曝露することを含む、請求項1に記載の方法。
  12. 前記初期膜を処理することが約300℃を上回る温度で行われる、請求項1に記載の方法。
  13. 少なくとも1つのトレンチを有する基板表面を提供することであって、前記少なくとも1つのトレンチが、前記基板表面から底面に至るある深さにわたって延在し、かつ、第1側壁及び第2側壁によって画定された幅を有する、基板表面を提供することと、
    前記基板表面上ではなく前記トレンチ内に膜材料体積を有する初期膜を形成するために、膜材料を選択的に堆積させることであって、前記膜材料が、2を上回るピリング‐ベドワース比を有し、かつ、Co、Cr、Fe、Mn、Nb、Os、Ta、U、W、及びVからなる群から選択された材料を含む、膜材料を選択的に堆積させることと、
    前記基板表面を越えて延在する膨張膜を提供するために、Co、Cr、Fe、Mn、Nb、Os、Ta、U、W、又はVからなる群から選択された金属の窒化物を形成して前記膜材料体積を膨張させるよう、前記初期膜を処理することとを含む、
    処理方法。
  14. 前記膜材料が、Cr、Mo、及びOsからなる群から選択された金属を含む、請求項13に記載の方法。
  15. 前記膨張膜が、CrN、MoN、及びOsNからなる群から選択された材料を含む、請求項13に記載の方法。
JP2019523723A 2016-11-03 2017-11-02 パターニングのための膜の堆積及び処理 Ceased JP2019534384A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662416992P 2016-11-03 2016-11-03
US62/416,992 2016-11-03
PCT/US2017/059737 WO2018085554A2 (en) 2016-11-03 2017-11-02 Deposition and treatment of films for patterning

Publications (1)

Publication Number Publication Date
JP2019534384A true JP2019534384A (ja) 2019-11-28

Family

ID=62076683

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019523723A Ceased JP2019534384A (ja) 2016-11-03 2017-11-02 パターニングのための膜の堆積及び処理

Country Status (7)

Country Link
US (2) US10319636B2 (ja)
EP (1) EP3535782A4 (ja)
JP (1) JP2019534384A (ja)
KR (1) KR102317050B1 (ja)
CN (1) CN109923661A (ja)
TW (1) TWI719262B (ja)
WO (1) WO2018085554A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020532868A (ja) * 2017-09-05 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 亜酸化物からの自己整合構造
JP2021522680A (ja) * 2018-04-27 2021-08-30 東京エレクトロン株式会社 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
CN109923662A (zh) 2016-11-08 2019-06-21 应用材料公司 用于图案化应用的自底向上的柱状体的几何控制
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
WO2018200212A1 (en) * 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
SG11202001177SA (en) 2017-09-05 2020-03-30 Applied Materials Inc Bottom-up approach to high aspect ratio hole formation in 3d memory structures
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
TW201939628A (zh) * 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
WO2020024221A1 (zh) * 2018-08-02 2020-02-06 深圳市为通博科技有限责任公司 忆阻器电极材料的制备方法、制备装置和忆阻器电极材料
WO2020033405A1 (en) * 2018-08-08 2020-02-13 Tokyo Electron Limited Method utilizing using post etch pattern encapsulation
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60158643A (ja) * 1984-01-27 1985-08-20 Hitachi Ltd 絶縁分離方法
JPH04349629A (ja) * 1991-05-28 1992-12-04 Hitachi Ltd 半導体装置及びその製造方法
JP2001167432A (ja) * 1999-12-08 2001-06-22 Hitachi Ltd 高密度磁気記録媒体およびその作製方法
JP2007013133A (ja) * 2005-06-02 2007-01-18 Semiconductor Energy Lab Co Ltd 半導体装置及びその製造方法
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP2011109099A (ja) * 2009-11-17 2011-06-02 Samsung Electronics Co Ltd 導電構造物を含む半導体装置及びその製造方法
JP2016004932A (ja) * 2014-06-18 2016-01-12 セイコーエプソン株式会社 圧電素子、液体噴射ヘッド、液体噴射装置及び圧電素子の製造方法

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645891B2 (ja) * 1985-12-18 1994-06-15 キヤノン株式会社 堆積膜形成法
US4671970A (en) 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
KR0165813B1 (ko) 1995-04-12 1999-02-01 문정환 접속홀의 플러그 형성 방법
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
KR100223334B1 (ko) 1996-06-29 1999-10-15 김영환 반도체소자의 금속배선형성방법
JP3244058B2 (ja) * 1998-07-28 2002-01-07 日本電気株式会社 半導体装置の製造方法
US6143653A (en) 1998-10-04 2000-11-07 Promos Technologies, Inc. Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss
KR20000026588A (ko) 1998-10-21 2000-05-15 윤종용 콘택홀을 갖는 반도체 장치 및 그 제조방법
US6194754B1 (en) * 1999-03-05 2001-02-27 Telcordia Technologies, Inc. Amorphous barrier layer in a ferroelectric memory cell
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP2001015479A (ja) 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
WO2001013426A1 (en) 1999-08-18 2001-02-22 Steag Rtp Systems, Inc. Method of producing copper features on semiconductor wafers
US6576113B1 (en) 1999-10-29 2003-06-10 California Institute Of Technology Method of electroplating of high aspect ratio metal structures into semiconductors
US6281114B1 (en) * 2000-02-07 2001-08-28 Infineon Technologies Ag Planarization after metal chemical mechanical polishing in semiconductor wafer fabrication
US6373087B1 (en) 2000-08-31 2002-04-16 Agere Systems Guardian Corp. Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
US7192803B1 (en) 2000-10-13 2007-03-20 Bridge Semiconductor Corporation Method of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
JP2002252281A (ja) 2001-02-27 2002-09-06 Sony Corp 半導体装置およびその製造方法
US6528884B1 (en) 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
US7279119B2 (en) 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
CN100360710C (zh) 2002-03-28 2008-01-09 哈佛学院院长等 二氧化硅纳米层压材料的气相沉积
US7045073B2 (en) * 2002-12-18 2006-05-16 Intel Corporation Pre-etch implantation damage for the removal of thin film layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7211844B2 (en) 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
KR100923192B1 (ko) 2004-03-16 2009-10-22 가부시키가이샤 아이에이치아이 반도체 장치의 제조 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2007005381A (ja) 2005-06-21 2007-01-11 Matsushita Electric Ind Co Ltd プラズマエッチング方法、及びプラズマエッチング装置
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7351648B2 (en) 2006-01-19 2008-04-01 International Business Machines Corporation Methods for forming uniform lithographic features
US7368394B2 (en) 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7956465B2 (en) 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
JP2008108757A (ja) 2006-10-23 2008-05-08 Matsushita Electric Works Ltd 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20090017631A1 (en) 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
US7985977B2 (en) 2007-12-11 2011-07-26 Hvvi Semiconductors, Inc. Sacrificial pillar dielectric platform
KR20100128291A (ko) * 2008-02-14 2010-12-07 브리스톨-마이어스 스큅 컴퍼니 Egfr에 결합하는 조작된 단백질을 기초로 하는 표적화된 치료제
KR101477661B1 (ko) 2008-07-17 2014-12-31 삼성전자주식회사 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법
US8169031B2 (en) 2008-08-26 2012-05-01 International Business Machines Corporation Continuous metal semiconductor alloy via for interconnects
US8101456B2 (en) * 2008-10-01 2012-01-24 International Business Machines Corporation Method to reduce a via area in a phase change memory cell
KR101026486B1 (ko) 2008-10-22 2011-04-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
JP5133852B2 (ja) 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US8575753B2 (en) 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
JP2011060803A (ja) 2009-09-07 2011-03-24 Toshiba Corp 半導体装置
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
US8274065B2 (en) 2009-10-19 2012-09-25 Macronix International Co., Ltd. Memory and method of fabricating the same
US8778749B2 (en) 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
JP2011233922A (ja) 2011-07-20 2011-11-17 Ihi Corp 素子間分離領域の形成方法
US8946082B2 (en) 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
CN103125023B (zh) * 2011-09-28 2016-05-25 丰田自动车株式会社 半导体装置及其制造方法
KR20130046664A (ko) 2011-10-28 2013-05-08 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US8860001B2 (en) 2012-04-09 2014-10-14 Freescale Semiconductor, Inc. ReRAM device structure
US20140029181A1 (en) 2012-07-27 2014-01-30 Florian Gstrein Interlayer interconnects and associated techniques and configurations
US9245987B2 (en) 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9178011B2 (en) * 2013-03-13 2015-11-03 Intermolecular, Inc. Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate
US9012322B2 (en) 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
EP3796371A3 (en) 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
KR102167317B1 (ko) 2013-09-27 2020-10-19 인텔 코포레이션 Beol 상호접속들에 대한 이전 층 자체-정렬형 비아 및 플러그 패터닝
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9362413B2 (en) 2013-11-15 2016-06-07 Cbrite Inc. MOTFT with un-patterned etch-stop
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
WO2015152228A1 (ja) * 2014-03-31 2015-10-08 ウシオ電機株式会社 半導体発光素子、半導体発光素子の製造方法、led素子、電子線励起型光源装置
KR102377372B1 (ko) 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9368395B1 (en) 2014-05-06 2016-06-14 Globalfoundries Inc. Self-aligned via and air gap
US9299745B2 (en) 2014-05-08 2016-03-29 GlobalFoundries, Inc. Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same
US9281382B2 (en) 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US9627318B2 (en) 2014-06-16 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with footing region
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9324650B2 (en) 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9356047B2 (en) 2014-08-18 2016-05-31 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods
US9508642B2 (en) 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9735030B2 (en) 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
KR102310834B1 (ko) 2014-12-22 2021-10-07 도쿄엘렉트론가부시키가이샤 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US20160260779A1 (en) * 2015-03-06 2016-09-08 Kabushiki Kaisha Toshiba Non-volatile resistive random access memory device
US20160284626A1 (en) * 2015-03-25 2016-09-29 Micron Technology, Inc. Semiconductor devices having conductive vias and methods of forming the same
US9362165B1 (en) 2015-05-08 2016-06-07 Globalfoundries Inc. 2D self-aligned via first process flow
WO2016204771A1 (en) * 2015-06-18 2016-12-22 Intel Corporation Bottom-up fill (buf) of metal features for semiconductor structures
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9721888B2 (en) 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9837314B2 (en) 2016-02-02 2017-12-05 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US11127629B2 (en) * 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
TWI680535B (zh) * 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
TW201833991A (zh) 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
US10403542B2 (en) 2017-06-10 2019-09-03 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60158643A (ja) * 1984-01-27 1985-08-20 Hitachi Ltd 絶縁分離方法
JPH04349629A (ja) * 1991-05-28 1992-12-04 Hitachi Ltd 半導体装置及びその製造方法
JP2001167432A (ja) * 1999-12-08 2001-06-22 Hitachi Ltd 高密度磁気記録媒体およびその作製方法
JP2007013133A (ja) * 2005-06-02 2007-01-18 Semiconductor Energy Lab Co Ltd 半導体装置及びその製造方法
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP2011109099A (ja) * 2009-11-17 2011-06-02 Samsung Electronics Co Ltd 導電構造物を含む半導体装置及びその製造方法
JP2016004932A (ja) * 2014-06-18 2016-01-12 セイコーエプソン株式会社 圧電素子、液体噴射ヘッド、液体噴射装置及び圧電素子の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020532868A (ja) * 2017-09-05 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 亜酸化物からの自己整合構造
JP7221279B2 (ja) 2017-09-05 2023-02-13 アプライド マテリアルズ インコーポレイテッド 亜酸化物からの自己整合構造
JP2021522680A (ja) * 2018-04-27 2021-08-30 東京エレクトロン株式会社 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積
JP7369895B2 (ja) 2018-04-27 2023-10-27 東京エレクトロン株式会社 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積

Also Published As

Publication number Publication date
TWI719262B (zh) 2021-02-21
KR20190067933A (ko) 2019-06-17
US20190252252A1 (en) 2019-08-15
US10319636B2 (en) 2019-06-11
US20180144980A1 (en) 2018-05-24
EP3535782A2 (en) 2019-09-11
EP3535782A4 (en) 2020-10-28
WO2018085554A2 (en) 2018-05-11
CN109923661A (zh) 2019-06-21
TW201829822A (zh) 2018-08-16
US10699952B2 (en) 2020-06-30
WO2018085554A3 (en) 2018-06-21
KR102317050B1 (ko) 2021-10-26

Similar Documents

Publication Publication Date Title
JP2019534384A (ja) パターニングのための膜の堆積及び処理
US10741435B2 (en) Oxidative volumetric expansion of metals and metal containing compounds
JP2020501344A (ja) パターニング用途のためのボトムアップ柱状体の形状制御
US10636659B2 (en) Selective deposition for simplified process flow of pillar formation
US11414751B2 (en) Self-aligned structures from sub-oxides
US11462438B2 (en) Volumetric expansion of metal-containing films by silicidation
US20210013038A1 (en) Methods of Forming Tungsten Pillars

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190624

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210621

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211130

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20220426