JP7369895B2 - 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積 - Google Patents

高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積 Download PDF

Info

Publication number
JP7369895B2
JP7369895B2 JP2020559409A JP2020559409A JP7369895B2 JP 7369895 B2 JP7369895 B2 JP 7369895B2 JP 2020559409 A JP2020559409 A JP 2020559409A JP 2020559409 A JP2020559409 A JP 2020559409A JP 7369895 B2 JP7369895 B2 JP 7369895B2
Authority
JP
Japan
Prior art keywords
metal
dielectric layer
layer
metal layer
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020559409A
Other languages
English (en)
Other versions
JPWO2019210234A5 (ja
JP2021522680A (ja
Inventor
タピリー,カンダバラ
ルーシンク,ゲリット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021522680A publication Critical patent/JP2021522680A/ja
Publication of JPWO2019210234A5 publication Critical patent/JPWO2019210234A5/ja
Priority to JP2023075430A priority Critical patent/JP2023103303A/ja
Application granted granted Critical
Publication of JP7369895B2 publication Critical patent/JP7369895B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

関連出願の相互参照
本出願は、2018年4月27日に出願された米国仮特許出願第62/663,916号明細書に関連し、且つそれに対する優先権を主張するものであり、その内容全体が参照により本明細書に援用される。
本発明は、基板を処理するための方法に関し、より具体的には高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積に関する。
より小型のトランジスタが製造されるにつれて、パターン形成されたフィーチャの限界寸法(CD)又は解像度は、生産するのがより困難になっている。EUV導入後であってもコスト効率の良いスケーリングが継続できるようにするには、自己整合パターン形成がオーバーレイ駆動パターン形成に取って代わる必要がある。ばらつきの減少、スケーリングの拡張並びにCD及びプロセス制御の強化を可能にするパターン形成オプションが必要とされる。薄膜の選択的堆積は、高度にスケーリングされた技術ノードにおけるパターン形成における重要な工程である。
高度な半導体コンタクトにおけるキャップ層形成のためのエリア選択的堆積方法が説明される。エリア選択的堆積により、リソグラフィベースのパターン形成を減らす必要がある単純化されたメタライゼーションスキームが可能になる。
一実施形態によれば、本方法は、高度な半導体コンタクトにおけるキャップ層形成を含む。本方法は、第1の誘電体層及び第1の金属層を含む平坦化された基板を提供することと、第1の金属層の表面を酸化させて酸化金属層を形成することと、第1の金属層の酸化表面上に第2の誘電体層を選択的に堆積させることとを含む。第2の誘電体層を選択的に堆積させることは、平坦化された基板を、空間気相堆積プロセス中、堆積ガスを分配するガス入口の下方に移動させることを含み得、堆積ガスは、第1の誘電体層の表面の上方に延びる酸化金属層に優先的に曝露される。
別の実施形態によれば、本方法は、第1の誘電体層及び第1の金属層を含む平坦化された基板を提供することと、第1の誘電体層上に第2の誘電体層を選択的に堆積させることであって、第1の金属層の上方に陥凹フィーチャを形成する、選択的に堆積させることと、陥凹フィーチャを第2の金属層で満たすことと、第2の誘電体層を基板から除去することと、第2の金属層上に第3の誘電体層を選択的に堆積させることとを含む。
別の実施形態によれば、本方法は、第1の誘電体層及び第1の金属層を含む平坦化された基板を提供することと、第1の金属層の上面の下方に第1の誘電体層を凹ませることと、第1の金属層上に第2の誘電体層を選択的に堆積させることとを含む。
本明細書に組み込まれ、本明細書の一部を構成する添付の図面は、本発明の実施形態を例示し、上記の本発明の概要及び下記の詳細な説明と共に本発明を説明する役割を果たす。
本発明の一実施形態による基板を処理する方法を、断面図を通して概略的に示す。 本発明の一実施形態による基板を処理する方法を、断面図を通して概略的に示す。 本発明の一実施形態による基板を処理する方法を、断面図を通して概略的に示す。
図1A~図1Cは、本発明の一実施形態による基板を処理する方法を、断面図を通して概略的に示す。図1Aに示す例示的な基板100は、半導体デバイスに一般的に見られる様々な材料層を含むが、本発明の実施形態は、より単純な半導体デバイス又はより高度な半導体デバイスに適用され得る。基板100は、酸化物層102(例えば、SiO)、窒化物層104(例えば、SiN)、ゲートコンタクト層106、キャップ層108(例えば、SiN又はSiCN)、ソース/ドレイン層112(例えば、Si又はSiC)、誘電体層110(例えば、SiO)及び金属含有層114(例えば、トレンチシリサイド層:CoSi、NiSi又はMoSi)を含む。例示的な基板100では、金属含有層114及びソース/ドレイン層112は、コンタクト領域の一部であり得る。基板100は、第1の誘電体層130と第1の金属層118とをさらに含む。第1の誘電体層130は、例えば、SiO又は低誘電率材料を含み得る。第1の金属層118は、例えば、タングステン(W)金属、ルテニウム(Ru)金属、コバルト(Co)金属、モリブデン(Mo)及びそれらの組み合わせからなる群から選択され得る。基板100は、化学機械研磨(CMP)プロセスを使用して平坦化され得る。
図1Bは、露出した第1の金属層118を酸化させて、第1の金属層118上に酸化金属層132を形成する酸化プロセス後の基板100を示す。酸化プロセスは、基板100をプラズマ励起Oガスに曝露することを含み得る。一例では、W金属層が酸化されて、酸化W金属層を形成する。酸化プロセスは、酸化W(例えば、WO)がW金属よりも大きい体積を占めるため、体積の増加を伴う。これにより、酸化W金属層は、W金属層及び第1の誘電体層130の水平面の数ナノメートル(nm)上方に延びる。
図1Cは、酸化金属層132上に第2の誘電体層134を選択的に堆積させた後の基板100を示す。一例では、第2の誘電体層134は、金属酸化物層又は金属窒化物層を含み得る。別の例では、第2の誘電体層134は、TiO、HfO、ZrO、Al、SiO、SiN及びそれらの組み合わせからなる群から選択され得る。第2の誘電体層134の選択的堆積は、少なくとも部分的に、第1の金属層118及び第1の誘電体層130の水平面の上方に延びる酸化金属層132によって可能になる。一実施形態によれば、第2の誘電体層134は、基板100を、空間気相堆積プロセス、例えば空間原子層堆積(ALD)プロセス又は空間化学蒸着(CVD)中、堆積ガスを分配するガス入口の下方に移動させることにより、空間堆積によって堆積され得る。基板支持体の回転速度及びガス曝露パラメータは、堆積ガスが、隆起した酸化金属層132の上面に優先的に曝露されるが、隆起した酸化金属層132の上面の下方にある第1の誘電体層130の表面に優先的に曝露されないように選択され得る。他の実施形態によれば、第2の誘電体層134は、隆起した酸化金属層132の上面を優先的に曝露するために、ガス流、曝露時間)を含めて前駆体の曝露を慎重に制御して堆積され得る。
キャップ層としても知られる第2の誘電体層134は、後続のエッチングプロセスのためのハードマスクとして使用されて、第1の金属層118に隣接する第1の誘電体層130において完全自己整合ビア、自己整合コンタクト、スーパービア又は自己整合ゲートコンタクトを形成し得る。第2の誘電体層134は、第1の金属層118のエッチングを防止又は低減することにより、エッチングプロセス中に第1の金属層118を保護する。
図2A~図2Eは、本発明の一実施形態による基板を処理する方法を、断面図を通して概略的に示す。図1Aに示す基板100が図2Aで基板200として再現されている。図2Bは、第1の誘電体層130上に第2の誘電体層140を選択的に堆積させた後の基板200を示す。第2の誘電体層140の選択的堆積は、第1の金属層118の上方に陥凹フィーチャ133を形成する。いくつかの例では、第2の誘電体層140は、TiO、HfO、ZrO、Al、SiO、SiN及びそれらの組み合わせからなる群から選択され得る。
一例では、第2の誘電体層140は、SiOを含むことができ、選択的堆積は、金属含有前駆体とシラノールガスとの交互の曝露によって実現され得る。金属含有前駆体は、第1の誘電体層130上に選択的に吸着し、続いてシラノールガスの反応を触媒して、SiO材料を堆積させる。シラノールガスは、トリス(tert-ペントキシ)シラノール(TPSOL)、トリス(tert-ブトキシ)シラノール及びビス(tert-ブトキシ)(イソプロポキシ)シラノールからなる群から選択され得る。シラノールガスへの曝露は、いかなる酸化剤及び加水分解剤の非存在下でも行うことができ、基板は、約150℃以下の基板温度において、シラノールガスを含むプロセスガスに曝露されて、SiO膜を堆積できる。SiO膜の厚さは、金属含有前駆体層上へのシラノールガスの自己律速型吸着によって制御される。この触媒効果は、SiO膜の厚さが約3nmになるまで観察され、その後、SiOの堆積は、停止した。別の実施形態では、基板温度は、約120℃以下であり得る。さらに別の実施形態では、基板温度は、約100℃以下であり得る。
金属含有前駆体の例としては、アルミニウム(Al)、チタン(Ti)又はアルミニウム及びチタンの両方が挙げられる。アルミニウム含有前駆体の例としては、AlMe、AlEt、AlMeH、[Al(OBu)、Al(CHCOCHCOCH、AlCl、AlBr、AlI、Al(OPr)、[Al(NMe、Al(Bu)Cl、Al(Bu)、Al(Bu)H、AlEtCl、EtAl(OBu)及びAl(THD)が挙げられる。「Ti-N」分子内結合を有するチタン含有前駆体の例としては、Ti(NEt(TDEAT)、Ti(NMeEt)(TEMAT)、Ti(NMe(TDMAT)が挙げられる。「Ti-C」分子内結合を含むチタン含有前駆体を含む他の例としては、Ti(COCH)(η5-CCl、Ti(η5-C)Cl、Ti(η5-C)Cl、Ti(η5-CCl、Ti(η5-C(CH)Cl、Ti(CH)(η5-CCl、Ti(η5-CCl、Ti((η5-C(CHCl、Ti((η5-C(CHCl、Ti(η5-C(μ-Cl)、Ti(η5-C(CO)、Ti(CH(η5-C)、Ti(CH(η-C、Ti(CH、Ti(η5-C)(η7-C)、Ti(η5-C)(η8-C)、Ti(C(η5-C、Ti((C(η-H)、Ti(η5-C(CH、Ti(η5-C(CH(H)及びTi(CH(η5-C(CHが挙げられる。TiClは、「Ti-ハロゲン」結合を含むハロゲン化チタン前駆体の例である。
別の例では、第2の誘電体層140は、TiOを含むことができ、選択的堆積は、Ti含有前駆体と酸素含有ガスとの交互の曝露によって実現され得る。
図2Cは、陥凹フィーチャ133において第1の金属層118上に第2の金属層136を選択的に堆積させた後の基板200を示す。第1の金属層118は、陥凹フィーチャ133を完全に満たすことができる。第2の金属層136は、タングステン(W)金属、ルテニウム(Ru)金属、コバルト(Co)金属、モリブデン(Mo)及びそれらの組み合わせからなる群から選択され得る。
一例では、第2の金属層136の選択的堆積は、第1の誘電体層130上への金属の堆積を阻止することにより、ボトムアップ方式で行われ得る。金属の堆積は、第1の誘電体層130上に吸着するが、第1の金属層118上に吸着しない親水性官能基を含む反応ガスに基板200を曝露することによって阻止され得る。反応ガスは、アルキルシラン、アルコキシシラン、アルキルアルコキシシラン、アルキルシロキサン、アルコキシシロキサン、アルキルアルコキシシロキサン、アリールシラン、アシルシラン、アリールシロキサン、アシルシロキサン、シラザン又はそれらの任意の組み合わせを含むケイ素含有ガスを含み得る。いくつかの例では、反応ガスは、ジメチルシランジメチルアミン(DMSDMA)、トリメチルシランジメチルアミン(TMSDMA)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)及び他のアルキルアミンシランから選択され得る。
図2Dは、第1の誘電体層130を除去した後の基板200を示す。除去は、ドライエッチングプロセス又はウェットエッチングプロセスを含み得る。
図2Eは、第2の金属層136上に第3の誘電体層138を選択的に堆積させた後の基板200を示す。任意選択で、第2の金属層136の表面は、酸化プロセスを使用して酸化されて酸化金属層を形成し、その後、酸化金属層上に第3の誘電体層138が選択的に堆積され得る。一例では、第3の誘電体層138は、金属酸化物層又は金属窒化物層を含み得る。別の例では、第3の誘電体層138は、TiO、HfO、ZrO、Al、SiO、SiN及びそれらの組み合わせからなる群から選択され得る。第3の誘電体層138の選択的堆積は、少なくとも部分的に、第1の金属層118及び第1の誘電体層130の水平面の上方に延びる第2の金属層136によって可能になる。
一実施形態によれば、第3の誘電体層138は、基板100を、空間気相堆積プロセス、例えば空間原子層堆積(ALD)プロセス又は空間化学蒸着(CVD)中、堆積ガスを分配するガス入口の下方に移動させることにより、空間堆積によって堆積され得る。基板支持体の回転速度及びガス曝露パラメータは、堆積ガスが、隆起した第2の金属層136の上面に優先的に曝露されるが、隆起した第2の金属層136の上面の下方にある第1の誘電体層130の表面に優先的に曝露されないように選択され得る。他の実施形態によれば、第3の誘電体層138は、隆起した第2の金属層136の上面を優先的に曝露するために、ガス流、曝露時間)を含めて前駆体の曝露を慎重に制御して堆積され得る。
図3A~図3Cは、本発明の一実施形態による基板を処理する方法を、断面図を通して概略的に示す。図1Aに示す基板100が図3Aで基板300として再現されている。図3Bは、第1の金属層118の上面の下方に第1の誘電体層を凹ませるエッチングプロセス後の基板300を示す。その後、基板300は、第1の金属層118上に堆積された第2の誘電体層140を選択的に堆積することを含めて、図2Eに示すように処理され得る。任意選択で、第1の金属層118の表面は、酸化プロセスを使用して酸化されて酸化金属層を形成し、その後、酸化金属層上に第2の誘電体層140が選択的に堆積され得る。一例では、第2の誘電体層140は、金属酸化物層又は金属窒化物層を含み得る。別の例では、第2の誘電体層140は、TiO、HfO、ZrO、Al、SiO、SiN及びそれらの組み合わせからなる群から選択され得る。第2の誘電体層140の選択的堆積は、少なくとも部分的に、第1の誘電体層130の水平面の上方に延びる第1の金属層118によって可能になる。
高度な半導体コンタクトにおけるキャップ層形成のためのエリア選択的堆積の複数の実施形態を説明してきた。本発明の実施形態の上述の説明は、例示及び説明を目的として提示したものである。それは、網羅的であるか又は開示された形態に本発明を限定するように意図されていない。本明細書及び以下の特許請求の範囲は、説明目的でのみ使用され、限定するものと解釈されるべきでない用語を含む。関連する技術分野の当業者は、上記の教示を踏まえて、多くの修正形態及び変形形態が可能であることを理解し得る。当業者であれば、図に示された様々な構成要素に対する様々な均等な組み合わせ及び置換を認識するであろう。したがって、本発明の範囲は、この詳細な説明によってではなく、本明細書に添付された特許請求の範囲によって限定されることが意図されている。

Claims (12)

  1. 第1の誘電体層及び第1の金属層を含む平坦化された基板を提供するステップと、
    前記第1の金属層の表面を酸化させて酸化金属層を形成するステップと、
    前記酸化金属層上に第2の誘電体層を選択的に堆積させるステップと、
    を含む、基板処理方法。
  2. 前記第1の金属層は、タングステン(W)金属、ルテニウム(Ru)金属、コバルト(Co)金属、モリブデン(Mo)及びそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  3. 前記第1の金属層は、W金属を含み、
    前記酸化させるステップでは、体積の増加を伴う酸化W金属層が形成され、該酸化W金属層は、前記第1の金属層及び前記第1の誘電体層の水平面の上方に延在する、請求項2に記載の方法。
  4. 前記第2の誘電体層を選択的に堆積させるステップは、前記平坦化された基板を、空間気相堆積プロセス中、堆積ガスを分配するガス入口の下方に移動させるステップを含み、前記堆積ガスは、前記第1の誘電体層の表面の上方に延在する前記酸化金属層に優先的に曝露される、請求項1に記載の方法。
  5. 前記第2の誘電体層は、TiO、HfO、ZrO、Al、SiO、SiN及びそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  6. 前記第2の誘電体層は、金属酸化物又は金属窒化物からなる群から選択される、請求項1に記載の方法。
  7. 前記第2の誘電体層を後続のエッチングプロセスのためのハードマスクとして使用して、前記第1の誘電体層に、完全自己整合ビア、自己整合コンタクト、スーパービア又は自己整合ゲートコンタクトを形成するステップをさらに含む、請求項1に記載の方法。
  8. 前記第1の金属層の前記表面を酸化させる前に、前記第1の金属層の上面の下方に、前記第1の誘電体層を凹ませるステップをさらに含む、請求項1に記載の方法。
  9. 第1の誘電体層及び第1の金属層を含む平坦化された基板を提供するステップと、
    前記第1の金属層の上面の下方に、前記第1の誘電体層を凹ませるステップと、
    前記第1の金属層の表面を酸化させ、酸化金属層を形成するステップと、
    前記酸化金属層上に第2の誘電体層を選択的に堆積させるステップと、
    前記第2の誘電体層を後続のエッチングプロセスのためのハードマスクとして使用して、前記第1の誘電体層に、完全自己整合ビア、自己整合コンタクト、スーパービア又は自己整合ゲートコンタクトを形成するステップと、
    を含む、基板処理方法。
  10. 前記第2の誘電体層を前記選択的に堆積させるステップは、前記平坦化された基板を、空間気相堆積プロセス中、堆積ガスを分配するガス入口の下方に移動させるステップを含み、前記堆積ガスは、前記第1の誘電体層の表面の上方に延在する前記第1の金属層に優先的に曝露される、請求項に記載の方法。
  11. 前記第1の金属層は、タングステン(W)金属、ルテニウム(Ru)金属、コバルト(Co)金属、モリブデン及びそれらの組み合わせからなる群から選択される、請求項に記載の方法。
  12. 前記第2の誘電体層は、TiO、HfO、ZrO、Al、SiO、SiN及びそれらの組み合わせからなる群から選択される、請求項に記載の方法。
JP2020559409A 2018-04-27 2019-04-26 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積 Active JP7369895B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023075430A JP2023103303A (ja) 2018-04-27 2023-05-01 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862663916P 2018-04-27 2018-04-27
US62/663,916 2018-04-27
PCT/US2019/029444 WO2019210234A1 (en) 2018-04-27 2019-04-26 Area selective deposition for cap layer formation in advanced contacts

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023075430A Division JP2023103303A (ja) 2018-04-27 2023-05-01 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積

Publications (3)

Publication Number Publication Date
JP2021522680A JP2021522680A (ja) 2021-08-30
JPWO2019210234A5 JPWO2019210234A5 (ja) 2022-01-18
JP7369895B2 true JP7369895B2 (ja) 2023-10-27

Family

ID=68292714

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020559409A Active JP7369895B2 (ja) 2018-04-27 2019-04-26 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積
JP2023075430A Pending JP2023103303A (ja) 2018-04-27 2023-05-01 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023075430A Pending JP2023103303A (ja) 2018-04-27 2023-05-01 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積

Country Status (5)

Country Link
US (1) US11170992B2 (ja)
JP (2) JP7369895B2 (ja)
KR (1) KR20200137016A (ja)
TW (1) TW201946113A (ja)
WO (1) WO2019210234A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023009288A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Two-dimension self-aligned scheme with subtractive metal etch

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011109099A (ja) 2009-11-17 2011-06-02 Samsung Electronics Co Ltd 導電構造物を含む半導体装置及びその製造方法
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
JP2019534384A (ja) 2016-11-03 2019-11-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための膜の堆積及び処理
JP2019534573A (ja) 2016-11-08 2019-11-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合パターニングのための方法
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
JP2020530663A (ja) 2017-08-13 2020-10-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合高アスペクト比構造及びその作製方法
JP2020532870A (ja) 2017-09-05 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dメモリ構造における高アスペクト比孔形成へのボトムアップアプローチ

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5854127A (en) * 1997-03-13 1998-12-29 Micron Technology, Inc. Method of forming a contact landing pad
US7972897B2 (en) 2007-02-05 2011-07-05 Intermolecular, Inc. Methods for forming resistive switching memory elements
US7745324B1 (en) * 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8404582B2 (en) * 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
DE102011004922B4 (de) * 2011-03-01 2016-12-15 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgatestapeln mit erhöhter Integrität
US20120252210A1 (en) * 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US10847363B2 (en) * 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011109099A (ja) 2009-11-17 2011-06-02 Samsung Electronics Co Ltd 導電構造物を含む半導体装置及びその製造方法
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
JP2019534384A (ja) 2016-11-03 2019-11-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための膜の堆積及び処理
JP2019534573A (ja) 2016-11-08 2019-11-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合パターニングのための方法
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
JP2020530663A (ja) 2017-08-13 2020-10-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合高アスペクト比構造及びその作製方法
JP2020532870A (ja) 2017-09-05 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dメモリ構造における高アスペクト比孔形成へのボトムアップアプローチ

Also Published As

Publication number Publication date
JP2023103303A (ja) 2023-07-26
US11170992B2 (en) 2021-11-09
WO2019210234A1 (en) 2019-10-31
TW201946113A (zh) 2019-12-01
JP2021522680A (ja) 2021-08-30
US20190333763A1 (en) 2019-10-31
KR20200137016A (ko) 2020-12-08

Similar Documents

Publication Publication Date Title
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
TWI698544B (zh) 選擇性地沈積材料的方法及選擇性地沈積金屬氧化物膜的方法
JP7097713B2 (ja) 選択的なSiO2堆積を用いた自己整合コンタクトの形成方法
US10381234B2 (en) Selective film formation for raised and recessed features using deposition and etching processes
JP6466498B2 (ja) 凹状フィーチャ内の膜のボトムアップ形成方法
TWI835883B (zh) 以低電阻率金屬填充半導體元件中之凹陷特徵部的方法
KR20220034785A (ko) 영역 선택적 증착에서 측면 필름 성장의 완화 방법
JP2023103303A (ja) 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積
TW202104635A (zh) 整合型原位乾式表面製備及區域選擇性膜沉積
JP2018182328A (ja) 誘電体基板上の誘電体材料の選択的な縦方向成長の方法
TW201839897A (zh) 自對準接觸圖案化之臨界尺寸控制
KR20230024298A (ko) 표면 세정 공정을 이용한 영역 선택적 증착 방법
KR102545882B1 (ko) 역행 프로파일들을 갖는 리세스된 피처들을 보이드 없이 충전하는 방법
US11658066B2 (en) Method for reducing lateral film formation in area selective deposition
TWI790372B (zh) 具有用於低電容內連線之氣隙的半導體元件形成方法
TWI329340B (en) Method for manufacturing semiconductor device
KR102553120B1 (ko) 레트로그레이드 리세스된 피처를 충전하는 방법
TW202301437A (zh) 利用烷氧化鋁氧化劑的半導體裝置用鋁氧化物膜之原子層沉積
TW202033813A (zh) 形成含鎳膜之方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220106

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230907

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230907

R150 Certificate of patent or registration of utility model

Ref document number: 7369895

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150