JP6516797B2 - 周期的処理を使用した選択的膜堆積のための方法及び装置 - Google Patents

周期的処理を使用した選択的膜堆積のための方法及び装置 Download PDF

Info

Publication number
JP6516797B2
JP6516797B2 JP2017134474A JP2017134474A JP6516797B2 JP 6516797 B2 JP6516797 B2 JP 6516797B2 JP 2017134474 A JP2017134474 A JP 2017134474A JP 2017134474 A JP2017134474 A JP 2017134474A JP 6516797 B2 JP6516797 B2 JP 6516797B2
Authority
JP
Japan
Prior art keywords
metal oxide
hydrophobic
oxide film
group
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017134474A
Other languages
English (en)
Other versions
JP2018011057A (ja
Inventor
エヌ.タピリー カンダバラ
エヌ.タピリー カンダバラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2018011057A publication Critical patent/JP2018011057A/ja
Application granted granted Critical
Publication of JP6516797B2 publication Critical patent/JP6516797B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Description

関連出願についてのクロス・リファレンス
本出願は、2016年7月11日付の米国仮特許出願第62/360,903に関連し、これに基づく優先権を主張するものであり、その全内容は参照により本明細書に組み込まれる。
本発明は、一般に、気相堆積を用いて異なる材料表面上に膜を選択的に堆積させる方法に関する。
デバイスのサイズが14nmテクノロジノードで小さくなるにつれて、製造の複雑さが増加している。半導体デバイスを製造するためのコストも増加しており、費用対効果の高いソリューション及び革新が必要とされている。より小さなトランジスタが製造されるにつれて、パターン化されたフィーチャの限界寸法(critical dimension:CD)又は解像度は、生産するのがより困難になってきている。自己整合パターニングは、極端紫外線リソグラフィ(EUV)導入後であってもコスト効率の高いスケーリングが継続するように、オーバーレイ駆動パターニングに置き換わることができる。バラツキを低減し、スケーリングを拡張し、CD及びプロセス制御を強化するパターニングオプションが必要とされている。
薄膜の選択的堆積は、高度にスケーリングされた技術ノードにおけるパターニングの重要なステップである。選択的な膜堆積は、自己組織化単分子膜(SAM)の使用により達成されている。しかし、SAMは、熱安定性が悪く、追加の材料を堆積する必要があるためプロセスの複雑さが増す。異なる材料表面に選択的な膜堆積を提供する新しい堆積方法が必要とされる。
本発明の実施形態は、気相堆積を用いて異なる材料表面上に金属酸化物膜を選択的に堆積させる方法を記載する。一実施形態によれば、方法は、第1表面を有する第1材料及び第2表面を有する第2材料を含む基板を提供するステップであって、前記第1材料は誘電材料を含み前記第2材料は半導体材料又は金属酸化物を除く金属含有材料を含む、提供ステップを含む。方法はさらに、前記第1表面を、疎水性第1表面を形成するための疎水性官能基を含む反応ガスと反応させる、反応ステップと、気相堆積によって、金属酸化物膜を前記第2表面上に堆積させるステップであって、前記疎水性第1表面上では前記金属酸化物膜の堆積が妨げられる、堆積ステップと、を含む。
添付の図面に関連して考慮されるとき、以下の詳細な説明を参照することにより、本発明がより完全に理解されるにつれて、本発明及びその多くの付随する利点のより完全な理解が容易に得られるであろう。
本発明の一実施形態による基板上への選択的膜堆積のためのプロセスフローを示す図である。 図2A−2Cは、本発明の一実施形態による基板上への選択的膜堆積の横断面を概略的に示す図である。 本発明の一実施形態による基板上への選択的膜堆積のためのプロセスフローを示す図である。 図4A−4Dは、本発明の一実施形態による基板上への選択膜堆積の横断面を概略的に示す図である。 本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。 本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。 本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。 本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。 本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。 本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。 本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。 本発明の一実施形態によるSiO層の改質を模式的に示す図である。 本発明の一実施形態による、気相堆積を用いた選択的膜堆積のための単一プロセスチャンバを含むプロセッシングシステムを模式的に示す図である。 本発明の一実施形態による、気相堆積を用いた選択的膜堆積のための複数プロセスチャンバを含むプロセッシングシステムを模式的に示す図である。 本発明の一実施形態による、2つのプロセスチャンバを含むプロセッシングシステムを模式的に示す図である。
気相堆積を使用して異なる材料表面上に金属酸化物膜を選択的に堆積させる方法が、様々な実施形態において、開示される。基板の所望の表面上に金属酸化物膜(例えば、絶縁体又は金属)を選択的に堆積させ、基板の残りの部分上への金属酸化物膜の堆積を抑制する、非ポリマーベースのアプローチが提供される。これは、通常リソグラフィ及びエッチングステップを含む、追加のパターニングステップの必要性を低減し又は排除する。
本発明の実施形態は、誘電材料表面を改質し、それにより改質された誘電材料表面上の金属酸化物堆積を妨げる方法を提供する。これは、半導体材料表面及び金属含有表面を含めて、堆積が容易に進行する非改質材料表面上への選択的金属酸化物堆積を可能にする。
一実施形態によれば、方法は、第1表面を有する第1材料及び第2表面を有する第2材料を含む基板を提供するステップであって、前記第1材料は誘電材料を含み前記第2材料は半導体材料又は金属酸化物を除く金属含有材料を含む、提供ステップと、前記第1表面を、疎水性第1表面を形成するための疎水性官能基を含む反応ガスと反応させる、反応ステップと、気相堆積によって、金属酸化物膜を前記第2表面上に堆積させるステップであって、前記疎水性第1表面上では前記金属酸化物膜の堆積が妨げられる、堆積ステップと、を含む。一実施例によれば、第1材料は酸化物表面を含むことができ、第2材料は非酸化物表面を含むことができる。
図1は、本発明一実施形態による基板上への選択的膜堆積のためのプロセスフロー100を示す。また、図2Aを参照すると、プロセスフロー100は、102において、第1表面201Aを有する第1の材料201及び第2表面202Aを有する第2の材料202を含む基板200を提供することを含み、第1材料201は誘電材料を含み第2材料202は半導体材料又は金属酸化物を除く金属含有材料を含む。図2Aに示す実施例では、第1表面201A及び第2表面202Aは、少なくとも実質的に同じ平面にある水平面である。
第1材料201は、例えば、SiO2、低比誘電率材料又は高比誘電率材料を含むことができる。低比誘電率材料は、SiO2の比誘電率より小さい公称比誘電率を有し、それはほぼ4(例えば、熱成長二酸化ケイ素に対する比誘電率は、3.8から3.9の範囲でありえる)である。高比誘電率誘電材料は、SiOの比誘電率より大きい公称比誘電率を有する。
低比誘電率材料は3.7未満の比誘電率を有することができる、又は、比誘電率が1.6から3.7の範囲であってもよい。低比誘電率材料は、フッ化ケイ素ガラス(FSG)、カーボンドープ酸化物、ポリマー、SiCOH含有低比誘電率材料、無孔性低比誘電率材料、多孔性低比誘電率材料、スピンオン誘電体(SOD)低比誘電率材料又は他の任意の適した誘電材料を含むことができる。
低比誘電率材料は、小さい空隙(又は孔)を形成するために硬化又は堆積プロセス中の膜の完全な高密度化を妨げるCH3結合を有する酸化ケイ素ベースのマトリックスのような、単相からなる多孔質無機‐有機ハイブリッド膜を含む。なお代替的に、これらの誘電層は、硬化プロセスの間に分解され蒸発する、有機材料の多孔質(例えば粒子の集合体(porogen))を有するカーボンドープシリコン酸化物ベースのマトリックスのような、少なくとも二相からなる多孔質無機‐有機ハイブリッド膜を含むことができる。
さらに、低比誘電率材料は、SOD技術を使用して堆積された、水素シルセスキオキサン(HSQ)又はメチルシルセスキオキサン(MSQ)のような、ケイ酸塩系材料を含む。そのような膜の例としては、Dow Corningから市販されているFOx(登録商標)HSQ、Dow Corningから市販されているXLK多孔質HSQ、及び、JSR Microelectronicsから市販されているJSR LKD−5109を含む。
一実施形態によれば、金属含有材料は、金属、金属窒化物及びそれらの化合物からなるグループから選択される。一実施例によれば、金属含有材料は、W、Cu、Co、Ru、TiN、TaN、TaSiN、TiSiN、CoSi、及び、それらの化合物からなるグループから選択される。一実施例によれば、半導体材料は、Si、Ge及びそれらの化合物からなるグループから選択される。
プロセスフロー100は、104において、図2Bに示される疎水性第1表面201Bを形成するために疎水性官能基を含む反応ガスと第1表面201Aを反応させるステップをさらに含む。一実施形態によれば、方法は、反応ガスへの曝露の前に第2表面202Aが実質的に酸素フリーになるように第2表面202Aから酸化物層を除去する、除去ステップをさらに含む。一つの実施例において、除去ステップは、化学酸化物除去(COR)プロセスを含むことができる。
本発明のいくつかの実施態様によれば、反応ガスはシリコン含有ガスを含むことができ、シリコン含有ガスは、アルキルシラン、アルコキシシラン、アルキルアルコキシシラン、アルキルシロキサン、アルコキシシロキサン、アルキルアルコキシシロキサン、アリールシラン、アシルシラン、アリールシロキサン、アシルシロキサン、シラザン及びそれらの化合物からなるグループから選択される。
本発明のいくつかの実施態様によれば、反応ガスは、ジメチルシリルジメチルアミン(DMSDMA)、トリメチルシリルジメチルアミン(TMSDMA)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)及び他のアルキル・アミン・シランから選択されることができる。他の実施形態によれば、反応ガスは、N,O−ビストリメチルシリルトリフルオロアセトアミド(BSTFA)及びトリメチルシリル−ピロール(TMS−ピロール)から選択できる。
本発明のいくつかの実施態様によれば、反応ガスは、シラザン化合物から選択されることができる。シラザンは、飽和ケイ素−窒素水素化物である。それらは、シロキサンに構造的に類似しており、−O−が−NH−で置き換えられている。有機シラザン前駆体は、Si原子に結合される少なくとも一つのアルキル基をさらに含むことができる。アルキル基は、例えば、メチレン基、エチル基、プロピル基若しくはブチル基であるか又はそれらの組み合わせ(combinations)でありえる。さらにまた、アルキル基は、フェニル基のような、周期的炭化水素基でありえる。さらに、アルキル基は、ビニル基でありえる。ジシラザンは、ケイ素原子に結合した1〜6個のメチル基若しくはケイ素原子に結合した1〜6個のエチル基を有する化合物、又は、ケイ素原子に結合したメチル基及びエチル基の組み合わせを有するジシラザン分子である。
一実施形態において、表面201Aは−OH末端(termination)、例えばSi−OHの薄層を含むことができ、反応ガスに対する曝露は、第1表面201A上の−OH末端を反応ガスの疎水官能基、例えば−SiMe3で置換する。したがって、疎水性官能基は、メチル末端されている。疎水性官能基の存在は、疎水性第1表面201B上への金属酸化物膜の堆積を妨げる。第1表面201Aとは対照的に、第2表面202Aは、反応ガスにより改質されない。
プロセスフロー100は、106において、図2Cに示されるように、気相堆積によって、金属酸化物膜204を第2表面202A上に堆積させるステップであって、疎水性第1表面201B上では金属酸化物膜204の堆積が妨げられる、堆積ステップをさらに含む。一つの実施例において、金属酸化物膜204は、第2表面202A上のキャップ層として役立つことができる。
金属酸化物膜は、例えば、分子層蒸着(ALD)、プラズマ強化型ALD(PEALD)、CVD法(CVD)、プラズマ強化型CVD(PECVD)又はパルス化CVDにより堆積されることができる。一実施例において、金属酸化物膜は、HfO、ZrO、TiO、Al及びそれらの化合物からなる群から選択されることができる。いくつかの実施例において、金属酸化物膜は、金属有機前駆体及び酸化剤(例えば、HO、H、プラズマ励起O又はO)の交互曝露を用いてALDによって、堆積できる。
ステップ104及び106は、第2表面202A上の金属酸化物膜204の厚さを増加させ、堆積プロセスの選択性を高めるために、プロセス矢印108により示されるように、少なくとも1回繰り返されることができる。ステップ104を繰り返すステップは、疎水性第1表面201Bから失われた疎水性官能基を、飽和露光後の脱離(desorption)によって、補充できる。ステップ104及び106を1回以上繰り返すステップは、疎水性第1表面201B上への堆積のためのインキュベーション期間を延長し、第2表面202A上への改善された選択的な金属酸化物膜の堆積をもたらす。
図3は、本発明の一実施形態による基板上への選択的膜堆積のためのプロセスフロー300を示す。ステップ302〜306は、図1のプロセスフロー100におけるステップ102〜106と類似している。また、図4A〜4Dを参照すると、プロセスフロー300は、302において、第1表面401Aを有する第1材料401及び第2表面402Aを有する第2材料402を含む基板400を提供するステップであって、第1材料401は誘電材料を含み、第2材料402は半導体材料又は金属酸化物を除く金属含有材料を含む、提供ステップを含む。
プロセスフロー300は、304において、図4Bに示すように、第1表面401Aが、疎水性第1表面401Bを形成するための疎水性官能基を含む反応ガスと反応する、反応ステップをさらに含む。一実施形態によれば、方法は、反応ガスへの曝露の前に第2表面202Aが実質的に酸素フリーになるように第2表面202Aから酸化物層を除去する、除去ステップをさらに含む。一実施例において、除去するステップは、化学酸化物除去(COR)プロセスを含むことができる。
プロセスフロー300は、306において、気相堆積によって、金属酸化物膜404を第2表面402A上に堆積させ、追加金属酸化物膜409を疎水性第1表面401B上に堆積させるステップをさらに含み、追加金属酸化物膜409の厚さは、金属酸化物膜404の厚さより小さい。この厚さの相違は、疎水表面401B上において、追加金属酸化物膜409の堆積が妨げられていることによる。
ステップ304及び306は、第2表面402A上の金属酸化物膜404の厚さを増加させ、堆積プロセスの選択性を高めるために、プロセス矢印308により示されるように、少なくとも1回繰り返されることができる。ステップ304を繰り返すステップは、疎水性第1表面401Bから失われた疎水性官能基を、飽和露光後の脱離によって、補充できる。ステップ304及び306を1回以上繰り返すステップは、疎水性第1表面401B上への堆積のためのインキュベーション期間を延長し、第2表面402A上への改善された選択的な金属酸化物膜の堆積をもたらす。
プロセスフロー300は、310において、図4Dに示すように、金属酸化物膜409を疎水性第1表面401B上でなく第2表面402A上に選択的に形成するために、前記追加金属酸化物膜409を疎水性第1表面401Bから除去するステップをさらに含む。一実施例において、除去するステップは、原子層エッチング(ALE)プロセスを含む。
ステップ304、306及び310は、プロセス矢印312により示されるように、少なくとも1回繰り返される。これは、疎水性第1表面401B上への金属酸化物堆積のためのインキュベーション期間を延長し、第2表面402A上への改善された選択的な金属酸化物膜の形成をもたらす。
一実施例によれば、第1表面は、第1材料の水平面及び第1材料内に形成された凹状フィーチャの垂直面を含み、第2表面は、凹状フィーチャ内の金属含有材料の表面を含む。一実施例において、金属含有材料の表面は、凹状フィーチャの底部のメタライゼーション層でありえる。
図12は、本発明の一実施形態によるSiO層の改質を模式的に示す。SiO層は、例えば、図2Aの、第1表面201Aを有する第1材料201を含むことができる。SiO層上に疎水性サイト(−SiMe)を形成するために、シリル化ガス、トリメチルシリルジメチルアミン(TMSDMA)はSiO層上の親水性サイト(−OH)と反応する。NHMe基は、反応の後、SiO層から脱離(desorbed)する。疎水性サイトは、SiO層上への金属酸化物層(例えば、HfO、ZrO)の堆積を妨げる。疎水性サイトを形成する方法は、低比誘電率回復(low-k restoration:LKR)とも呼ばれる。
図5は、本発明の1実施形態による、基板上への膜堆積への表面改質の効果を示す。トレース501は、化学酸化物層(SiO)上にALDにより堆積されたHfO膜の厚さを示し、トレース502は、改質された化学酸化物層上にALDにより堆積されたHfO膜の厚さを示す。化学酸化物層は、TMSDMAの過飽和曝露により改良された。両ALDプロセスと化学酸化物層の改質とは、250℃の基板温度において、ただし別々のプロセスチャンバ内で実施された。他の実施態様は、対照的に、同じプロセスチャンバ内で、ALDプロセスと化学酸化物層の改質とが実施された。「サイクルカウント」とは、ALDプロセス中のハフニウム前駆体及び酸素含有ガスの交互曝露の回数を意味する。図5は、改質された化学酸化物層上へのHfO堆積が妨げられたことを示す。妨げられたHfOの堆積は、改質された化学酸化物層上に吸着された疎水性リガンド(−SiMe)により提供される核生成遅延に起因する。
図6は、本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す。トレース601は、化学酸化物層(SiO)上にALDにより堆積されたZrO膜の厚さを示し、トレース602は、改質された化学酸化物層上にALDにより堆積されたZrO膜の厚さを示す。化学酸化物層は、TMSDMAの過飽和曝露により改良された。両ALDプロセスと化学酸化物層の改質とは、250℃の基板温度において、実施された。「サイクルカウント」とは、ALDプロセス中のジルコニウム前駆体及び酸素含有ガスの交互曝露の回数を意味する。図6は、改質された化学酸化物層上へのZrO堆積が妨げられたことを示す。妨げられた堆積は、改質された化学酸化物層上に吸着された疎水性リガンド(−SiMe)により提供される核生成遅延に起因する。
図7は、本発明の一実施形態による、基板上への膜堆積への表面改質及び基板温度の効果を示す。トレース701は、化学酸化物層(SiO)上に、ALDによって、250℃の基板温度において、堆積されたHfO膜の厚さを示す。トレース702は、改質された化学酸化物層上に、180℃の基板温度において、ALDにより堆積されたHfO膜の厚さを示し、トレース703は、改質された化学酸化物層上に、ALDによって、250℃の基板温度において、ALDにより堆積されたHfO膜の厚さを示す。
化学酸化物層はTMSDMAの過飽和曝露により改質された。「サイクルカウント」とは、ALDプロセス中のハフニウム前駆体及び酸素含有ガスの交互曝露の回数を意味する。図7は、HfO堆積が改質された化学酸化物層上で妨げられたことを示しており、250℃の基板温度が、より低い180℃の基板温度よりも、選択的堆積により有効であることも示している。
図8は、本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す。トレース801は、化学酸化物層(SiO)上にALDにより堆積されたHfO膜の厚さを示す。トレース802は、改質された化学酸化物層上にALDにより堆積されたHfO膜の厚さを示す。
トレース803は、改質された化学酸化物層上にALDにより堆積されたHfO膜の厚さを示し、表面改質が毎6ALDサイクルの間に(between every 6 ALD cycles)繰り返された。化学酸化物層はTMSDMAの過飽和曝露により改質された。両ALDプロセスと化学酸化物層の改質とは、250℃の基板温度において、実施された。「サイクルカウント」とは、ALDプロセス中のジルコニウム前駆体及び酸素含有ガスの交互曝露の回数を意味する。図8は、改質された化学酸化物層上へのHfO堆積が妨げられ、6ALDサイクルごとに表面改質を繰り返すことで、HfO堆積がさらに妨げられたことを示している。
繰り返し表面改質は、疎水性第1表面から失われた疎水性官能基を、飽和曝露後の脱離によって、補充すると考えられる。
図9は、本発明の一実施形態による、基板上への膜堆積への表面改質の効果を示す図である。トレース901は、化学酸化物層(SiO)上にALDにより堆積されたZrO膜の厚さを示す。トレース902は、改質された化学酸化物層上にALDにより堆積されたZrO膜の厚さを示す。 トレース903は、改質された化学酸化物層上にALDにより堆積されたZrO膜の厚さを示し、表面改質が6ALDサイクルごとに繰り返された。化学酸化物層はTMSDMAの過飽和曝露により改質された。両ALDプロセスと化学酸化物層の改質とは、250℃の基板温度において、実施された。「サイクルカウント」とは、ALDプロセス中のジルコニウム前駆体及び酸素含有ガスの交互曝露の回数を意味する。図9は、改質された化学酸化物層上へのZrO堆積が妨げられ、6ALDサイクルごとに表面改質を繰り返すことで、ZrO堆積がさらに妨げられたことを示している。繰り返し表面改質は、疎水性第1表面から失われた疎水性官能基を、飽和曝露後の脱離によって、補充すると考えられる。
図10において、トレース1002は、ALDによって、化学酸化物層上に堆積されたZrO膜の厚さを示す。ALDプロセスは、6サイクルごとに中断され、基板はALDプロセスチャンバから、第2プロセスチャンバ内へ移動され、そこで不活性ガスに曝露された。これは、化学酸化物層を改質するための反応ガスへの曝露の間の経過時間をシミュレーションして、これは、された。トレース1001は、ALDプロセスがトレース1002のように中断されなかった、ALDによって、化学酸化物層(SiO)上に堆積されたZrO膜の厚さを示す。図10は、ZrO堆積が、ALDの中断にも、第2プロセスチャンバへの及びからの移送にも影響を受けなかったことを示す。比較のために、図11は、基板を、毎6ALDサイクル後に(after every 6 ALD cycles)第2プロセスチャンバ内でのTMSDMAの飽和量に曝露する効果を示す。トレース1101は、化学酸化物層(SiO)上にALDにより堆積されたZrO膜の厚さを示す。トレース1101は、化学酸化物層(SiO)上にALDにより堆積されたZrO膜の厚さを示し、ALDプロセスは、6サイクルごとに中断され、基板がALDプロセスチャンバから第2プロセスチャンバ内へ移動され、そこでTMSDMAガスに曝露された。
図13は、本発明の一実施形態による、気相堆積を用いた選択的膜堆積のための単一プロセスチャンバを含むプロセッシングシステムを模式的に示す図である。プロセスチャンバは、基板を支持及び加熱するための基板ホルダ(ステージヒータ)と、プロセスチャンバ内に減圧環境を提供するための真空ポンプと、プロセスチャンバ内へガスを導入するためのガス分配プレートとを含む。ガス分配プレートは、金属有機前駆体(例えば、ハフニウム含有前駆体又はジルコニウム含有前駆体)、酸化剤及び反応ガス(例えば、TMSDMA)を含む、いくつかのガス供給源に流体接続されている。プロセスチャンバは、さらに、プラズマ供給源を用いてプロセスチャンバ内でプラズマ励起ガスを生成するために構成されることができる。一つの実施例において、プラズマ励起ガスは、遠隔プラズマ供給源によって、発生し、プロセスチャンバ内に流れ込むことができる。
図14は、本発明の一実施形態による、気相堆積を用いた選択的膜堆積のための複数プロセスチャンバを含むプロセッシングシステムを模式的に示す図である。例示のプロセッシングシステムは、5つの基板(W)のそれぞれが回転して通る、5つのプロセスチャンバ(区画)を有する。プロセッシングシステムは、プロセッシングスペースを別個のプロセスチャンバ(仕切り)に分割する周辺側壁仕切りを含む。回転プラッタは、各プロセスチャンバ内の曝露時間を制御するために、基板Wを、プロセッシングスペース内において、制御可能な速度で支持する。一実施例によれば、基板は、プロセスチャンバ1内で基板を反応ガスに曝露することにより処理され、その後、基板は、プロセスチャンバ2内で金属有機前駆体に曝露される。その後、不活性ガスを用いたパージが、プロセスチャンバ3内で、過剰な金属有機前駆体及び副生成物を基板から除去するために行われる。その後、基板は、金属酸化物膜を基質の上に形成するために、プロセスチャンバ4内で酸化剤に曝露される。その後で、不活性ガスを用いたパージがプロセスチャンバ5内で、過剰な酸化剤及び副生成物を基板から除去するために行われる。プロセスチャンバ2〜5内での処理は、1回以上繰り返されることができ、各繰り返しは1つのALDサイクルに対応する。いくつかの実施態様において、1回以上のALDサイクルの後、プロセスチャンバ1内での処理が繰り返されることができる。
例えば、プロセスチャンバ1の処理は、6ALDサイクル毎に繰り返すことができる。
プロセッシングシステムは、基板をプロセッシングシステム内に及び外に移送するためのゲート弁をさらに含む。
図15は、本発明の一実施形態による、2つのプロセスチャンバを含むプロセッシングシステムを模式的に示す図である。第1プロセスチャンバは、基材表面を実質的に酸素フリーにするための化学酸化物除去(COR)チャンバである。CORチャンバは、基板表面上に反応副生成物を形成する、HFガスとNHガスの交互又は同時の曝露のために構成できる。第2プロセスチャンバは、高温で基板表面から反応副生成物を除去するために使用できる。第2プロセスチャンバはまた、CORプロセス後の反応ガス(例えば、TMSDMA)への曝露のために使用されてもよい。2つのプロセスチャンバは、真空条件下に維持され、したがって実質的に酸素フリーな基板表面の反応ガス曝露を可能にする。
気相堆積を用いて異なる材料表面上に膜を選択的に堆積させるための複数の実施形態が記載されている。本発明の実施形態の前述の説明は、例示及び説明のために提示されたものである。開示された正確な形態を網羅すること又は本発明を開示された正確な形態に限定することを意図するものではない。この説明及び以下の特許請求の範囲は、説明のためだけに使用される用語を含み、限定するものとして解釈されるべきではない。当業者であれば、上記教示に照らして多くの修正及び変形が可能であることを理解できる。したがって、本発明の範囲は、この詳細な説明によって、ではなく、むしろ添付の特許請求の範囲により限定されることが意図される。

Claims (15)

  1. 基板上へ選択的に膜を堆積させる方法であって、
    第1表面を有する第1材料及び第2表面を有する第2材料を含む基板を提供するステップであって、前記第1材料は誘電材料を含み、前記第2材料は半導体材料又は金属酸化物を除く金属含有材料を含む、提供ステップと、
    前記第1表面を、疎水性第1表面を形成するための疎水性官能基を含む反応ガスと反応させる、反応ステップと、
    気相堆積によって、前記第2表面上に金属酸化物膜を堆積させるとともに、前記疎水性第1表面上に追加金属酸化物膜を堆積させるステップであって、前記疎水性第1表面上では前記金属酸化物膜の堆積が妨げられ、前記追加金属酸化物膜の厚さは前記金属酸化物膜の厚さより小さい、堆積ステップと、
    前記追加金属酸化物膜を前記疎水性第1表面から除去することにより、前記金属酸化物膜が前記疎水性第1表面上でなく前記第2表面上に選択的に形成される、除去ステップと、
    を含む、方法。
  2. 前記反応ステップ及び前記堆積ステップを少なくとも1回繰り返すステップ、
    をさらに含む、請求項1記載の方法。
  3. 前記除去するステップは、原子層エッチング(ALE)プロセスを含む、
    請求項1記載の方法。
  4. 前記除去ステップに続いて、前記反応ステップ、前記堆積ステップ及び前記除去ステップを少なくとも1回繰り返すステップ、
    をさらに含む、請求項1記載の方法。
  5. 前記第1表面及び前記第2表面は、少なくとも同じ平面にある水平面である、
    請求項1記載の方法。
  6. 前記第1表面は、前記第1材料の水平面及び前記第1材料内に形成された凹状フィーチャの垂直面を含み、
    前記第2表面は、前記凹状フィーチャ内で前記金属含有材料の表面を含む、
    請求項1乃至4いずれか1項記載の方法。
  7. 前記第1表面はヒドロキシ末端であり、前記疎水性第1表面はメチル末端である、
    請求項1記載の方法。
  8. 前記金属含有材料は、金属、金属窒化物及びそれらの化合物からなるグループから選択される、
    請求項1記載の方法。
  9. 前記金属含有材料は、W、Cu、Co、Ru、TiN、TaN、TaSiN、TiSiN、CoSi及びそれらの化合物からなるグループから選択され、
    前記半導体材料は、Si、Ge及びそれらの化合物からなるグループから選択される、
    請求項1記載の方法。
  10. 前記金属酸化物膜は、HfO、ZrO、TiO、Al及びそれらの化合物からなるグループから選択されることができる、
    請求項1記載の方法。
  11. 前記誘電材料は、SiO、SiN、SiON、低比誘電率材料、高比誘電率材料及びそれらの化合物からなるグループから選択される、
    請求項1記載の方法。
  12. 前記反応ガスは、シリコン含有ガスを含む、
    請求項1記載の方法。
  13. 前記シリコン含有ガスは、アルキルシラン、アルコキシシラン、アルキルアルコキシシラン、アルキルシロキサン、アルコキシシロキサン、アルキルアルコキシシロキサン、アリールシラン、アシルシラン、アリールシロキサン、アシルシロキサン、シラザン及びそれらの化合物からなるグループから選択される、
    請求項12記載の方法。
  14. 前記反応ガスは、ジメチルシリルジメチルアミン(DMSDMA)、トリメチルシリルジメチルアミン(TMSDMA)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)、N,O−ビストリメチルシリルトリフルオロアセトアミド(BSTFA)、トリメチルシリル−ピロール(TMS−ピロール)及びそれらの化合物からなるグループから選択される、
    請求項1記載の方法。
  15. 前記反応するステップの前に、前記第2表面が実質的に酸素フリーにするために、前記第2表面から酸化物層を除去するステップ、
    をさらに含む、請求項1記載の方法。
JP2017134474A 2016-07-11 2017-07-10 周期的処理を使用した選択的膜堆積のための方法及び装置 Active JP6516797B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662360903P 2016-07-11 2016-07-11
US62/360,903 2016-07-11

Publications (2)

Publication Number Publication Date
JP2018011057A JP2018011057A (ja) 2018-01-18
JP6516797B2 true JP6516797B2 (ja) 2019-05-22

Family

ID=60910533

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017134474A Active JP6516797B2 (ja) 2016-07-11 2017-07-10 周期的処理を使用した選択的膜堆積のための方法及び装置

Country Status (3)

Country Link
US (1) US10580644B2 (ja)
JP (1) JP6516797B2 (ja)
KR (1) KR102003591B1 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR20200041829A (ko) * 2017-09-12 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 화학적 에칭에 의한 선택적 퇴적 결함들의 제거
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
WO2019182955A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
US11217456B2 (en) * 2018-03-26 2022-01-04 Intel Corporation Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
KR102515131B1 (ko) * 2018-04-13 2023-03-29 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
US10782613B2 (en) 2018-04-19 2020-09-22 International Business Machines Corporation Polymerizable self-assembled monolayers for use in atomic layer deposition
CN112166489A (zh) * 2018-05-28 2021-01-01 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
US10964527B2 (en) * 2018-06-21 2021-03-30 Applied Materials, Inc. Residual removal
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
US10665461B2 (en) 2018-09-24 2020-05-26 International Business Machines Corporation Semiconductor device with multiple threshold voltages
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US10692755B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics
US10749011B2 (en) 2018-10-24 2020-08-18 International Business Machines Corporation Area selective cyclic deposition for VFET top spacer
US10886462B2 (en) 2018-11-19 2021-01-05 International Business Machines Corporation Encapsulated memory pillars
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
US11063126B2 (en) 2019-02-28 2021-07-13 International Business Machines Corporation Metal contact isolation for semiconductor structures
US10886166B2 (en) 2019-03-08 2021-01-05 International Business Machines Corporation Dielectric surface modification in sub-40nm pitch interconnect patterning
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
US11164742B2 (en) * 2019-04-30 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Selective deposition using methylation treatment
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11637036B2 (en) 2020-01-30 2023-04-25 International Business Machines Corporation Planarization stop region for use with low pattern density interconnects
CN113106420A (zh) * 2020-02-26 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的制造方法
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11562908B2 (en) 2020-04-28 2023-01-24 International Business Machines Corporation Dielectric structure to prevent hard mask erosion
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197405A1 (en) * 2005-12-07 2009-08-06 Nxp B.V. Method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP5507909B2 (ja) 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9252359B2 (en) 2013-03-03 2016-02-02 Adesto Technologies Corporation Resistive switching devices having a switching layer and an intermediate electrode layer and methods of formation thereof
US10062564B2 (en) * 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
JP2018532271A (ja) 2015-10-15 2018-11-01 東京エレクトロン株式会社 インターコネクトのための選択的なボトムアップ式金属フィーチャ充填
KR20170135760A (ko) 2016-05-31 2017-12-08 도쿄엘렉트론가부시키가이샤 표면 처리에 의한 선택적 퇴적

Also Published As

Publication number Publication date
US10580644B2 (en) 2020-03-03
JP2018011057A (ja) 2018-01-18
KR102003591B1 (ko) 2019-07-24
US20180012752A1 (en) 2018-01-11
KR20180006864A (ko) 2018-01-19

Similar Documents

Publication Publication Date Title
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
JP6827500B2 (ja) 表面処理による選択的堆積
TWI826451B (zh) 滲入設備及滲入可滲性材料之方法
KR102376352B1 (ko) 다공성의 낮은 유전상수 필름 상에 기공 밀봉 층을 제공하기 위한 방법 및 조성물
TWI794133B (zh) 形成氮碳氧化矽薄膜的方法
TW202104645A (zh) 包括光阻底層之結構及其形成方法
WO2018109554A1 (en) Method of forming a structure on a substrate
US10366878B2 (en) Selective deposition through formation of self-assembled monolayers
JP7406684B2 (ja) 半導体デバイス内の凹状特徴部を低抵抗率金属で充填する方法
JP2010506408A (ja) 金属シリケート膜のald
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
US11264254B2 (en) Substrate processing tool with integrated metrology and method of using
WO2019204121A1 (en) Methods of treating a substrate to form a layer thereon for application in selective deposition processes
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
JP2020515713A (ja) ケイ素含有表面への選択的堆積
US20220139776A1 (en) Method for filling recessed features in semiconductor devices with a low-resistivity metal
TW202242964A (zh) 利用低電阻金屬填充半導體裝置中之凹陷特徵部的方法
KR20240025591A (ko) 자기조립 단분자층을 사용하는 선택적 막 형성
JP2006173299A (ja) 半導体装置の製造方法
KR20220110390A (ko) 영역 선택적 원자층 증착 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190319

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190416

R150 Certificate of patent or registration of utility model

Ref document number: 6516797

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250