JP2018532271A - インターコネクトのための選択的なボトムアップ式金属フィーチャ充填 - Google Patents

インターコネクトのための選択的なボトムアップ式金属フィーチャ充填 Download PDF

Info

Publication number
JP2018532271A
JP2018532271A JP2018519330A JP2018519330A JP2018532271A JP 2018532271 A JP2018532271 A JP 2018532271A JP 2018519330 A JP2018519330 A JP 2018519330A JP 2018519330 A JP2018519330 A JP 2018519330A JP 2018532271 A JP2018532271 A JP 2018532271A
Authority
JP
Japan
Prior art keywords
metal
dielectric layer
cobalt
gas
concave feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018519330A
Other languages
English (en)
Other versions
JP2018532271A5 (ja
Inventor
ユ,カイ−フン
エヌ. タピリー,カンダバラ
エヌ. タピリー,カンダバラ
ディー. クラーク,ロバート
ディー. クラーク,ロバート
ジェイ. ルーシンク,ゲリット
ジェイ. ルーシンク,ゲリット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2018532271A publication Critical patent/JP2018532271A/ja
Publication of JP2018532271A5 publication Critical patent/JP2018532271A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

いくつかの実施形態では、半導体デバイスのために低抵抗率金属を用いた凹状フィーチャの選択的なボトムアップ式充填のための方法が説明されている。本方法は、誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供すること、誘電体層表面を、疎水性官能基を含む反応ガスと反応させて疎水性誘電体層表面を形成することと、疎水性誘電体層表面への金属の付着を妨げるボトムアップ式の気相付着プロセスにおいて、凹状フィーチャを金属で少なくとも実質的に充填することと、を含む。一実施形態によれば、金属は、ルテニウム(Ru)、コバルト(Co)、アルミニウム(Al)、イリジウム(Ir)、ロジウム(Rh)、オスミウム(Os)、パラジウム(Pd)、白金(Pt)、ニッケル(Ni)、及びそれらの組み合わせからなる群から選択される。

Description

この出願は、2015年10月15日に出願された米国仮特許出願第62/242,167号に関連し、これに基づく優先権を主張し、同出願のすべての内容は本明細書に参照により援用される。
本発明は、半導体製造のための方法に関連し、特に、半導体デバイスのための低抵抗率金属を用いた凹状フィーチャのボトムアップ式充填のための方法に関連する。
集積回路は、様々な半導体デバイスと、半導体デバイスに電力を供給し、これらの半導体デバイスが情報を共有、交換することを可能にする複数の導電金属経路と、を含む。集積回路内では、金属層は、金属層を互いに絶縁する金属間又は層間誘電体層を使用して相互上に積み重ねられる。
通常、各金属層は、少なくとも1つの追加の金属層への電気的接続を形成しなければならない。そのような電気的接続は、金属層を分離する層間誘電体内にフィーチャ(すなわち、ビア)をエッチングし、結果として生じるビアを金属で充填してインターコネクトを形成することによって達成される。金属層は、典型的には、層間誘電体内のエッチングされた経路を占有する。「ビア」は、通常、誘電体層を通って誘電体層の下にある導電層に電気的接続を提供する、誘電体層内に形成された孔、ライン、又は他の同様のもの等の任意のフィーチャを指す。同様に、2つ以上のビア接続した金属層は、通常、トレンチと呼ばれる。
集積回路を製造するための多層メタライズスキームにおける銅(Cu)金属の使用は、SiOのような誘電体中のCu原子の高い移動度に起因する問題を生じさせ、Cu原子はSi内に電気的欠陥を生じさせる。したがって、Cu金属層、Cu充填トレンチ、及びCu充填ビアは、通常、Cu原子が誘電体及びSi内に拡散するのを防ぐために、バリア材料で封入される。バリア層は、通常、Cuシード付着の前にトレンチ及びビアの側壁及び底面に付着され、好ましくは、Cuに非反応性及び不混和性で、誘電体に対する良好な接着性を提供し、低い電気抵抗率を提供することができる材料を含んでよい。
デバイス性能の向上は、通常、デバイス領域の減少又はデバイス密度の増加により達成される。デバイス密度の増加は、より大きなアスペクト比(すなわち、深さと幅の比)を含む、インターコネクトを形成するために使用されるビア寸法の減少を必要とする。ビア寸法が減少し、アスペクト比が増加するにつれて、ビアの側壁に十分な厚さを有する拡散バリア層を形成しつつ、ビア内の金属層のために十分な体積を提供することもますますより困難になっている。さらに、ビア及びトレンチの寸法が減少し、ビア及びトレンチ内の層の厚さが減少するについて、層及び層界面の材料特性がますます重要になっている。特に、これらの層を形成するプロセスは、プロセスシーケンスのすべてのステップに対して良好な制御が維持される製造可能なプロセスシーケンスに慎重に統合される必要がある。
基板上のますます小さいフィーチャ内のCu金属の使用に関連する問題は、Cu金属を他の低抵抗率金属で置き換えることを必要とする。
いくつかの実施形態では、半導体デバイスのために低抵抗率金属を用いた凹状フィーチャの選択的ボトムアップ式充填のための方法が説明される。
一実施形態によれば、本方法は、誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供することと、誘電体層表面を、疎水性官能基を含む反応ガスと反応させて、疎水性誘電体層表面を形成することと、疎水性誘電体層表面への金属の付着を妨げるボトムアップ式気相付着プロセスにおいて、凹状フィーチャを金属で少なくとも実質的に充填することと、を含む。一実施形態によれば、金属は、ルテニウム(Ru)、コバルト(Co)、アルミニウム(Al)、イリジウム(Ir)、ロジウム(Rh)、オスミウム(Os)、パラジウム(Pd)、白金(Pt)、ニッケル(Ni)、及びそれらの組み合わせからなる群から選択される。
別の実施形態によれば、本方法は、誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供することと、誘電体層表面を、疎水性官能基を含むシリコン含有反応ガスと反応させて、疎水性誘電体層表面を形成することと、疎水性誘電体層表面へのルテニウム(Ru)金属の付着を妨げるボトムアップ式気相付着プロセスにおいて、凹状フィーチャをRu金属で少なくとも実質的に充填することであって、Ru金属は、Ru(CO)12前駆体蒸気及びCOキャリアガスを含む付着ガスを使用して化学蒸着プロセスで付着される、充填することと、を含む。
別の実施形態によれば、本方法は、誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供することと、誘電体層表面を、疎水性官能基を含むシリコン含有反応ガスと反応させて、疎水性誘電体層表面を形成することと、疎水性誘電体層表面へのコバルト(Co)金属の付着を妨げるボトムアップ式気相付着プロセスにおいて、凹状フィーチャをCo金属で少なくとも実質的に充填することであって、Co金属は、Co含有前駆体蒸気を含む付着ガスを使用して化学蒸着プロセスで付着される、充填することと、を含む。
本発明のより完全な理解及びそれに付随する利点の多くは、添付の図面と併せて考慮されると、以下の発明を実施するための形態を参照することにより良く理解されるため、容易に得られるものである。
本発明の一実施形態による、例示的な半導体デバイスの断面図を概略的に示す。 本発明の一実施形態による、部分的に製造された半導体デバイス試験構造の断面図を概略的に示す。 図2の半導体デバイス試験構造上の非選択的Ru金属付着の断面走査型電子顕微鏡(SEM)画像を示す。 本発明の一実施形態による、疎水性誘電体層表面の形成に続く図2の半導体デバイス試験構造上の選択的ボトムアップ式Ru金属付着の断面SEM画像を示す。
いくつかの実施形態では、半導体デバイスのために低抵抗率金属を用いた凹状フィーチャの選択的ボトムアップ式充填のための方法が説明される。
一実施形態によれば、本方法は、誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供することと、誘電体層表面を、疎水性官能基を含む反応ガスと反応させて、疎水性誘電体層表面を形成することと、疎水性誘電体層表面への金属の付着を妨げるボトムアップ式気相付着プロセスにおいて、凹状フィーチャを金属で少なくとも実質的に充填することと、を含む。
一実施形態によれば、凹状フィーチャは金属で完全に充填されてもよい。一実施形態によれば、凹状フィーチャは金属で過剰充填されてもよく、その後、凹状フィーチャの上から余剰金属を除去する平坦化プロセス(例えば、化学機械研磨(CMP))が実行されてもよい。
有効な電子平均自由行程が短いRu金属は、約10nm(5nmノード)の最小凹状フィーチャサイズでのCu金属の置き換えとして、ITRS(International Technology Roadmap for Semiconductors)耐性要件を満たす優れた候補であることが示されている。Ru金属の多くの材料及び電気特性に起因して、Cu金属よりもフィーチャサイズのダウンスケーリングによる影響を受けにくい。
誘電体層内の凹状フィーチャは、例えば、トレンチ及び/又はビアを含むことができる。凹状フィーチャの直径は、例えば、30nm未満、20nm未満、10nm未満、又は5nm未満とすることができる。凹状フィーチャの直径は、例えば、20nmと30nmの間、10nmと25nmの間、5nmと10nmの間、又は3nmと5nmの間とすることができる。凹状フィーチャの深さは、例えば、10nmより大きく、20nmより大きく、50nmより大きく、100nmより大きく、又は200nmより大きくすることができる。凹状フィーチャは、例えば、アスペクト比(AR、深さ:幅)が2:1と20:1の間、2:1と10:1の間、又は2:1と5:1の間とすることができる。
誘電体層は、例えば、SiO、low−k誘電体材料、又はhigh−k誘電体材料を含むことができる。low−k誘電体材料は、おおよそ4であるSiOの誘電率(例えば、熱成長二酸化シリコンについての誘電率は3.8から3.9までの範囲とすることができる)よりも小さい公称誘電率を有する。high−k誘電体材料は、SiOの誘電率よりも大きい公称誘電率を有する。
いくつかのlow−k誘電体材料は、3.7未満の誘電率、又は1.6から3.7までの範囲の誘電率を有する。low−k誘電体材料は、フッ素化シリコンガラス(FSG)、炭素ドープ酸化物、ポリマー、SiCOH含有low−k材料、非多孔質low−k材料、多孔質low−k材料、スピンオン誘電体(SOD)low−k材料、又は任意の他の適切な誘電体材料を含むことができる。low−k誘電体材料は、硬化又は付着プロセス中の膜の完全高密度化を妨げ、小さな空隙(又は細孔)を生成する、CH結合を有する酸化シリコンベースのマトリックスなどの単相で構成される多孔質無機−有機ハイブリッド膜を含む。さらに、これらの誘電体層は、硬化プロセス中に分解して蒸発する有機材料(例えば、ポロゲン)の細孔を有する炭素ドープ酸化シリコンベースのマトリックスなどの少なくとも2相で構成される多孔質無機−有機ハイブリッド膜を含んでもよい。
さらに、low−k材料は、SOD技術を用いて付着される、水素シルセスキオキサン(HSQ)又はメチルシルセスキオキサン(MSQ)のようなシリケートベース材料を含む。そのような膜の例は、ダウコーニング(Dow Corning)から市販されているFOx HSQ、ダウコーニングから市販されているXLK多孔質HSQ、及びJSRマイクロエレクトロニクス(JSR Microelectronics)から市販されているJSR LKD−5109を含む。
図1は、本発明の一実施形態による例示的な半導体デバイスの断面図を概略的に示す。部分的に製造されたデバイス1は、金属で充填されているか、又は金属で充填される予定の凹状フィーチャを含有する複数の誘電体層を含む。部分的に製造されたデバイス1は、SiO層100と、第1ブランケットNMLoK(SiC)誘電体層102と、第1層間誘電体層104と、第2ブランケットNMLoK(SiC)誘電体層106と、第2層間誘電体層108と、M0メタライズレベルの一部である、第1層間誘電体層104内の金属プラグ114と、を含む。部分的に製造された半導体デバイス1は、凹状フィーチャ(ビア110及びトレンチ112)を金属で充填する予定で、その金属を金属層114に接続するM1メタライズレベルを含む。例示の部分的に製造された半導体デバイス1では、ビア110が、M1メタライズレベルより下にあるM0メタライズレベルの金属層114の露出した金属含有表面116を含む。いくつかの例では、金属含有表面116は、銅(Cu)、タングステン(W)、ルテニウム(Ru)、コバルト(Co)、窒化チタン(TiN)、窒化タンタル(TaN)、又はそれらの組み合わせを含むことができる。本発明のいくつかの実施形態によれば、凹状フィーチャ110,112を充填するために使用される金属は、ルテニウム(Ru)、コバルト(Co)、アルミニウム(Al)、イリジウム(Ir)、ロジウム(Rh)、オスミウム(Os)、パラジウム(Pd)、白金(Pt)、ニッケル(Ni)、及びそれらの組み合わせからなる群から選択されてもよい。しかし、他の低抵抗率金属が、凹状フィーチャ110,112を充填するために使用されてもよい。
本発明のいくつかの実施形態によれば、部分的に製造された半導体デバイス1及び他の同様に部分的に製造された半導体デバイスの露出した表面は、疎水性官能基を含む反応ガスに曝されてもよく、反応ガスは、誘電体層の露出した表面の親水性官能基(例えば、ヒドロキシル基)を反応ガス中の疎水性官能基と置換する。誘電体層の表面に疎水性官能基が存在することにより、疎水性誘電体層表面への金属の付着が防止、又は妨げられる。反応ガスが金属含有表面116と反応しないことにより、その後に続く金属含有表面116上への金属の付着が防止又は妨げられない。これにより、凹状フィーチャ110,112内における金属の選択的なボトムアップ式付着をもたらす。
図2は、本発明の一実施形態による、部分的に製造された半導体デバイスの試験構造の断面図を概略的に示す。試験構造2は、凹状フィーチャにおけるRu金属のボトムアップ式充填を実証するのに使用された。試験構造2は、誘電体層200と、SiN層202と、TiN層204と、露出したW金属表面214を有する幅100nmのW金属層206と、パターニングされたSiNエッチング停止層208と、パターニングされた誘電体層210を含んでいた。パターニングされた誘電体層210内の凹状フィーチャ212は、幅約40nm、深さ約130nm(AR約3)であった。図3は、図2の半導体デバイス試験構造上の非選択的Ru金属付着の断面SEM画像を示す。Ru金属付着は、Ru(CO)12前駆体蒸気及びCOキャリアガスを含む付着ガスを、以下の処理条件で利用した。すなわち、基板ホルダ温度220℃〜250℃、プロセスチャンバ圧力≦5mTorr、及び100sccmのCOキャリアガス流である。Ru金属の付着速度は約5nm/分であった。図3は、凹状フィーチャの底面でのW金属表面と、誘電体材料(dielectric material)の側壁及び上面での誘電体層表面との両方に、Ru金属が非選択的に付着されたことを示す。
図4は、本発明の実施形態による疎水性誘電体層表面の形成に続く図2の半導体デバイス試験構造上の選択的なボトムアップ式Ru金属付着の断面SEM画像を示す。疎水性誘電体層表面は、誘電体層表面を、疎水性官能基を含む反応ガス(すなわち、トリメチルシランジメチルアミン(TMSDMA))と反応させることによって形成された。処理条件は、基板ホルダ温度180℃、プロセスチャンバ圧力5Torr、TMSDMA/Nガス流量500sccm/350sccm、ガス暴露時間25秒を含んだ。図4は、それに続いて、Ru金属が、凹状フィーチャの底面でのW金属表面に選択的に堆積されるが、Ru金属の付着は、疎水性誘電体層表面では妨げられるか又は防止されたことを示す。疎水性誘電体層表面は、誘電体材料内の凹状フィーチャの側壁と、凹状フィーチャの周りのパターニングされた誘電体層の水平面とを含んでいた。W金属表面上のRu金属層の厚さは約34nmであり、それにより、凹状フィーチャの概ね25%をRu金属で充填した。いくつかの実施形態によれば、Ru金属の付着は、凹状フィーチャをRu金属でさらに充填するために継続されてもよい。例えば、凹状フィーチャは、25%より大きく、50%より大きく、75%より大きく、100%で、又は100%より大きく満たされてもよい。
図3及び図4における結果の比較は、誘電体層表面を反応ガスと反応させることにより、凹状フィーチャ内の選択的ボトムアップ式金属付着のための方法を提供することを示している。これは、凹状フィーチャをシームレス金属層で部分的又は完全に充填するための方法を提供し、金属が充填された凹状フィーチャの上から余剰金属を除去する必要性を低減又は排除する。
本発明のいくつかの実施形態によれば、反応ガスは、アルキルシラン、アルコキシシラン、アルキルアルコキシシラン、アルキルシロキサン、アルコキシシロキサン、アルキルアルコキシシロキサン、アリールシラン、アシルシラン、アリールシロキサン、アシルシロキサン、シラザン、及びそれらの組み合わせを含むシリコン含有ガスを含むことができる。
本発明のいくつかの実施形態によれば、反応ガスは、ジメチルシランジメチルアミン(DMSDMA)、トリメチルシランジメチルアミン(TMSDMA)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)、及び他のアルキルアミンシランから選択されてもよい。他の実施形態によれば、反応ガスは、N,O−ビストリメチルシリルトリフルオロアセトアミド(BSTFA)及びトリメチルシリル−ピロール(TMS−pyrrole)から選択されてもよい。
本発明のいくつかの実施形態によれば、反応ガスは、シラザン化合物から選択してよい。シラザンは、飽和シリコン−窒素水素化物である。それらは、−NH−が−O−が置き換わったシロキサンと構造において類似する。有機シラザン前駆体は、Si原子に結合した少なくとも1つのアルキル基をさらに含むことができる。アルキル基は、例えば、メチル基、エチル基、プロピル基、ブチル基、又はそれらの組み合わせとすることができる。さらに、アルキル基は、フェニル基などの環状炭化水素基とすることができる。追加的に、アルキル基はビニル基とすることができる。ジシラザンは、シリコン原子に結合した1〜6つのメチル基、又はシリコン原子に結合した1〜6つのエチル基を有する化合物、あるいはシリコン原子に結合したメチル基及びエチル基の組み合わせを有するジシラザン分子である。
本発明のいくつかの実施形態によれば、凹状フィーチャは、化学蒸着(CVD)又は原子層堆積(ALD)を含む気相付着プロセスを使用して、金属で少なくとも実質的に充填される。一実施形態によれば、金属は、ルテニウム(Ru)金属を含み、Ru金属が、Ru(CO)12前駆体蒸気及びCOキャリアガスを含む付着ガスを使用して付着される。他の例では、付着ガスは、Ru(CO)12、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム(Ru(DMPD)(EtCp))、ビス(2,4−ジメチルペンタジエニル)ルテニウム(Ru(DMPD))、(2,4−ジメチルペンタジエニル)(メチルシクロペンタジエニル)ルテニウム、又はそれらの2つ以上の組み合わせを含む。他の実施形態によれば、金属は、コバルト(Co)金属を含み、Co金属は、Co(CO)、Co(CO)12、CoCp(CO)、Co(CO)(NO)、Co(CO)(HCCBu)、Co(acac)、Co(Cp)、Co((MeCp))、Co(EtCp)、コバルト(II)ヘキサフルオロアセチルアセトナート水和物、コバルトトリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)、コバルト(III)アセチルアセトナート、ビス(N,N’−ジイソプロピルアセトアミジナート)コバルト、トリカルボニルアリルコバルト、又はそれらの2つ以上の組み合わせを含む付着ガスを使用いて付着されてもよい。他の実施形態によれば、金属は、アルミニウム(Al)金属を含み、Al金属は、AlMe、AlEt、AlMeH、[Al(OsBu)、Al(CHCOCHCOCH、AlCl、AlBr、AlI、Al(OiPr)、[Al(NMe、Al(iBu)Cl、Al(iBu)、Al(iBu)H、AlEtCl、EtAl(OsBu)、Al(THD)、HAlNMe、HAlNEt、HAlNMeEt、HAlMeEt、及びそれらの2つ以上の組み合わせを含む付着ガスを使用し付着されてもよい。
本発明の一実施形態によれば、金属充填された凹状フィーチャは、金属充填プロセスに続いて熱処理されてもよい。熱処理は、凹状フィーチャにおける金属の粒度を増加させ、熱処理されたより大きな粒度の金属は、狭い凹状フィーチャにおいてCu金属充填を置き換えるために必要な電気抵抗が低い。本発明の実施形態によれば、熱処理は、例えば、200℃と600℃の間、300℃と400℃の間、500℃と600℃の間、400℃と450℃の間、又は450℃と500℃の間にある基板温度で実行されてもよい。さらに、熱処理は、Arガス、Hガス、又はArガスとHガスの両方の存在下において大気圧未満で実行されてもよい。一例では、熱処理は、成形ガスの存在下において大気圧未満で実行されてもよい。別の例では、熱処理は、熱処理のために使用されるプロセスチャンバ内にガスを流すことなく、高真空条件下で形成されてもよい。
実施形態では、インターコネクトのための選択的なボトムアップ式金属フィーチャ充填が説明されている。本発明の実施形態の前述の説明は、図示及び説明を目的として提示されている。包括的であること、又は本発明を開示された正確な形態に限定することを意図していない。この説明及び以下の特許請求の範囲は、説明の目的のためにだけに使用され、限定するものとして解釈されるべきではない用語を含む。当業者であれば、上記教示に照らして多くの変更及び変形が可能であると理解することができる。したがって、本発明の範囲は、この詳細な説明によってではなく、ここに添付の特許請求の範囲によって限定されることを意図している。

Claims (20)

  1. 半導体デバイスを形成する方法であって、
    誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する該凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供することと、
    前記誘電体層表面を、疎水性官能基を含む反応ガスと反応させて、疎水性誘電体層表面を形成することと、
    前記疎水性誘電体層表面への金属の付着を妨げるボトムアップ式気相付着プロセスにおいて、前記凹状フィーチャを該金属で少なくとも実質的に充填することと、を含む方法。
  2. 前記反応ガスはシリコン含有ガスを含む、請求項1に記載の方法。
  3. 前記シリコン含有ガスは、アルキルシラン、アルコキシシラン、アルキルアルコキシシラン、アルキルシロキサン、アルコキシシロキサン、アルキルアルコキシシロキサン、アリールシラン、アシルシラン、アリールシロキサン、アシルシロキサン、シラザン、及びそれらの組み合わせをからなる群から選択される、請求項2に記載の方法。
  4. 前記反応ガスは、ジメチルシランジメチルアミン(DMSDMA)、トリメチルシランジメチルアミン(TMSDMA)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)、N,O−ビストリメチルシリルトリフルオロアセトアミド(BSTFA)、トリメチルシリル−ピロール(TMS−pyrrole)、及びそれらの組み合わせからなる群から選択される、請求項2に記載の方法。
  5. 前記金属含有表面は、銅(Cu)、タングステン(W)、ルテニウム(Ru)、コバルト(Co)、窒化チタン(TiN)、窒化タンタル(TaN)、又はそれらの組み合わせを含む、請求項1に記載の方法。
  6. 前記金属は、ルテニウム(Ru)、コバルト(Co)、アルミニウム(Al)、イリジウム(Ir)、ロジウム(Rh)、オスミウム(Os)、パラジウム(Pd)、白金(Pt)、ニッケル(Ni)、及びそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  7. 前記金属は、化学蒸着(CVD)又は原子層堆積(ALD)によって付着される、請求項1に記載の方法。
  8. 前記金属は、ルテニウム(Ru)金属を含み、該Ru金属は、Ru(CO)12前駆体蒸気及びCOキャリアガスを含む付着ガスを使用して付着される、請求項1に記載の方法。
  9. 前記金属は、ルテニウム(Ru)金属を含み、該Ru金属は、Ru(CO)12、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム(Ru(DMPD)(EtCp))、ビス(2,4−ジメチルペンタジエニル)ルテニウム(Ru(DMPD))、(2,4−ジメチルペンタジエニル)(メチルシクロペンタジエニル)ルテニウム、又はそれらの2つ以上の組み合わせを含む付着ガスを使用して付着される、請求項1に記載の方法。
  10. 前記金属は、コバルト(Co)金属を含む、請求項1に記載の方法。
  11. 前記金属は、コバルト(Co)金属を含み、該Co金属は、Co(CO)、Co(CO)12、CoCp(CO)、Co(CO)(NO)、Co(CO)(HCCBu)、Co(acac)、Co(Cp)、Co((MeCp))、Co(EtCp)、コバルト(II)ヘキサフルオロアセチルアセトナート水和物、コバルトトリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)、コバルト(III)アセチルアセトナート、ビス(N,N’−ジイソプロピルアセトアミジナート)コバルト、トリカルボニルアリルコバルト、又はそれらの2つ以上の組み合わせを含む付着ガスを使用して付着される、請求項1に記載の方法。
  12. 前記凹状フィーチャを前記金属で少なくとも実質的に充填することは、前記凹状フィーチャを前記金属で過剰充填する、請求項1に記載の方法。
  13. 前記凹状フィーチャの直径は、約10nmと約25nmの間である、請求項1に記載の方法。
  14. 前記誘電体層は、low−k誘電体材料を含む、請求項1に記載の方法。
  15. 半導体デバイスを形成する方法であって、
    誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する該凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供することと
    前記誘電体層表面を、疎水性官能基を含むシリコン含有反応ガスと反応させて、疎水性誘電体層表面を形成することと、
    前記疎水性誘電体層表面へのルテニウム(Ru)金属の付着を妨げるボトムアップ式気相付着プロセスにおいて、前記凹状フィーチャを該Ru金属で少なくとも実質的に充填することであって、該Ru金属は、Ru(CO)12前駆体蒸気及びCOキャリアガスを含む付着ガスを使用して化学蒸着プロセスで付着される、充填することと、を含む方法。
  16. 前記金属含有表面は、銅(Cu)、タングステン(W)、ルテニウム(Ru)、コバルト(Co)、窒化チタン(TiN)、窒化タンタル(TaN)、又はそれらの組み合わせを含む、請求項15に記載の方法。
  17. 前記凹状フィーチャを前記Ru金属で少なくとも実質的に充填することは、前記凹状フィーチャを前記Ru金属で過剰充填する、請求項15に記載の方法。
  18. 半導体デバイスを形成する方法であって、
    誘電体層表面及び凹状フィーチャの底面に金属含有表面を有する該凹状フィーチャを有するパターニングされた誘電体層を含む基板を提供することと、
    前記誘電体層表面を、疎水性官能基を含むシリコン含有反応ガスと反応させて、疎水性誘電体層表面を形成することと、
    前記疎水性誘電体層表面へのコバルト(Co)金属の付着を妨げるボトムアップ式気相付着プロセスにおいて、前記凹状フィーチャを該Co金属で少なくとも実質的に充填することであって、該Co金属は、Co含有前駆体蒸気を含む付着ガスを使用して化学蒸着プロセスで付着される、充填することと、を含む方法。
  19. 前記付着ガスは、Co(CO)、Co(CO)12、CoCp(CO)、Co(CO)(NO)、Co(CO)(HCCBu)、Co(acac)、Co(Cp)、Co((MeCp))、Co(EtCp)、コバルト(II)ヘキサフルオロアセチルアセトナート水和物、コバルトトリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナート)、コバルト(III)アセチルアセトナート、ビス(N,N’−ジイソプロピルアセトアミジナート)コバルト、トリカルボニルアリルコバルト、又はそれらの2つ以上の組み合わせを含む、請求項18に記載の方法。
  20. 前記凹状フィーチャを前記Co金属で少なくとも実質的に充填することは、前記凹状フィーチャを前記Co金属で過剰充填する、請求項18に記載の方法。
JP2018519330A 2015-10-15 2016-10-14 インターコネクトのための選択的なボトムアップ式金属フィーチャ充填 Pending JP2018532271A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562242167P 2015-10-15 2015-10-15
US62/242,167 2015-10-15
PCT/US2016/057181 WO2017066671A1 (en) 2015-10-15 2016-10-14 Selective bottom-up metal feature filling for interconnects

Publications (2)

Publication Number Publication Date
JP2018532271A true JP2018532271A (ja) 2018-11-01
JP2018532271A5 JP2018532271A5 (ja) 2019-08-15

Family

ID=58518024

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018519330A Pending JP2018532271A (ja) 2015-10-15 2016-10-14 インターコネクトのための選択的なボトムアップ式金属フィーチャ充填

Country Status (4)

Country Link
US (1) US10014213B2 (ja)
JP (1) JP2018532271A (ja)
KR (1) KR20180063317A (ja)
WO (1) WO2017066671A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2021015030A1 (ja) * 2019-07-25 2021-01-28
JP2021520637A (ja) * 2018-04-03 2021-08-19 東京エレクトロン株式会社 完全自己整合方式を使用するサブトラクティブ相互接続形成

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580644B2 (en) 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US10256144B2 (en) 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
SG11202100492RA (en) * 2018-07-17 2021-03-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
JP2020043139A (ja) * 2018-09-06 2020-03-19 東京エレクトロン株式会社 埋め込み方法及び処理システム
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US20200251340A1 (en) * 2019-02-04 2020-08-06 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11282745B2 (en) 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
US11094588B2 (en) 2019-09-05 2021-08-17 Applied Materials, Inc. Interconnection structure of selective deposition process
KR20240008886A (ko) 2021-05-19 2024-01-19 메르크 파텐트 게엠베하 Ru(I) 전구체를 사용한 루테늄 막의 선택적 증착

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03202471A (ja) * 1989-09-26 1991-09-04 Canon Inc 堆積膜形成法
JPH0637038A (ja) * 1992-07-15 1994-02-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH10214896A (ja) * 1996-11-29 1998-08-11 Toshiba Corp 半導体装置の製造方法及び製造装置
JP2001085378A (ja) * 1999-09-13 2001-03-30 Sony Corp 半導体装置およびその製造方法
US20100248473A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP2013526012A (ja) * 2010-03-30 2013-06-20 東京エレクトロン株式会社 半導体装置のための金属含有キャップ層の表面洗浄及び選択的堆積

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7998864B2 (en) * 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US7830010B2 (en) 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
US7670894B2 (en) * 2008-04-30 2010-03-02 Intel Corporation Selective high-k dielectric film deposition for semiconductor device
GB2473200B (en) * 2009-09-02 2014-03-05 Pragmatic Printing Ltd Structures comprising planar electronic devices
EP2674996A1 (en) * 2012-06-15 2013-12-18 Imec VZW Method for growing nanostructures in recessed structures
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9488615B2 (en) * 2014-12-17 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Biosensor with a sensing surface on an interlayer dielectric

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03202471A (ja) * 1989-09-26 1991-09-04 Canon Inc 堆積膜形成法
JPH0637038A (ja) * 1992-07-15 1994-02-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH10214896A (ja) * 1996-11-29 1998-08-11 Toshiba Corp 半導体装置の製造方法及び製造装置
JP2001085378A (ja) * 1999-09-13 2001-03-30 Sony Corp 半導体装置およびその製造方法
US20100248473A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP2013526012A (ja) * 2010-03-30 2013-06-20 東京エレクトロン株式会社 半導体装置のための金属含有キャップ層の表面洗浄及び選択的堆積

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021520637A (ja) * 2018-04-03 2021-08-19 東京エレクトロン株式会社 完全自己整合方式を使用するサブトラクティブ相互接続形成
JP7348441B2 (ja) 2018-04-03 2023-09-21 東京エレクトロン株式会社 完全自己整合方式を使用するサブトラクティブ相互接続形成
JPWO2021015030A1 (ja) * 2019-07-25 2021-01-28

Also Published As

Publication number Publication date
KR20180063317A (ko) 2018-06-11
US10014213B2 (en) 2018-07-03
US20170110368A1 (en) 2017-04-20
WO2017066671A1 (en) 2017-04-20

Similar Documents

Publication Publication Date Title
US10014213B2 (en) Selective bottom-up metal feature filling for interconnects
US8178439B2 (en) Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8242019B2 (en) Selective deposition of metal-containing cap layers for semiconductor devices
US9786549B2 (en) Etch damage and ESL free dual damascene metal interconnect
US7396759B1 (en) Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US7799681B2 (en) Method for forming a ruthenium metal cap layer
JP2008532271A (ja) 原子層堆積のための表面のプラズマ前処理
US7052990B2 (en) Sealed pores in low-k material damascene conductive structures
KR20120112232A (ko) 저유전율 손상이 감소된 다마신 구조물을 위한 공정
US9263327B2 (en) Minimizing void formation in semiconductor vias and trenches
US11676898B2 (en) Diffusion barrier for semiconductor device and method
US20150021779A1 (en) Hard mask for back-end-of-line (beol) interconnect structure
JP2008300652A (ja) 半導体装置の製造方法
US20230369224A1 (en) Via for semiconductor device and method
US20040224500A1 (en) Method of forming metal line of semiconductor device
US20090194875A1 (en) HIGH PURITY Cu STRUCTURE FOR INTERCONNECT APPLICATIONS
US6576545B1 (en) Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
JP5823359B2 (ja) 半導体装置の製造方法
JP2005129937A (ja) 低k集積回路相互接続構造
US20230048536A1 (en) Interconnect with Redeposited Metal Capping and Method Forming Same
US20240213093A1 (en) Catalyst-enhanced chemical vapor deposition
JP2011142169A (ja) 半導体装置及びその製造方法
TW202123385A (zh) 積體電路結構及其形成方法
JP2004006541A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190708

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190708

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210831