JP7348441B2 - 完全自己整合方式を使用するサブトラクティブ相互接続形成 - Google Patents

完全自己整合方式を使用するサブトラクティブ相互接続形成 Download PDF

Info

Publication number
JP7348441B2
JP7348441B2 JP2020554243A JP2020554243A JP7348441B2 JP 7348441 B2 JP7348441 B2 JP 7348441B2 JP 2020554243 A JP2020554243 A JP 2020554243A JP 2020554243 A JP2020554243 A JP 2020554243A JP 7348441 B2 JP7348441 B2 JP 7348441B2
Authority
JP
Japan
Prior art keywords
layer
metal layer
depositing
patterned spacer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020554243A
Other languages
English (en)
Other versions
JP2021520637A (ja
Inventor
裕樹 菊地
薫 前川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021520637A publication Critical patent/JP2021520637A/ja
Application granted granted Critical
Publication of JP7348441B2 publication Critical patent/JP7348441B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
  • Medicines That Contain Protein Lipid Enzymes And Other Medicines (AREA)

Description

関連出願の相互参照
本出願は、その内容全体が参照により本明細書に援用される、2018年4月3日に申請された米国仮特許出願第62/651,938号明細書に関連し、その優先権を主張するものである。
本発明の実施形態は、半導体構造及び半導体処理の分野にあり、より詳細には、バックエンドオブライン(BEOL)構造のための自己整合相互接続パターニングの分野にある。
集積回路におけるフィーチャのスケーリングは、常に成長している半導体産業の背後にある原動力であった。より小さいフィーチャにスケーリングすることが、半導体チップの限定された面積で機能ユニットの密度を高めることを可能にする。例えば、トランジスタサイズを縮小することにより、より多数のメモリ又は論理デバイスをチップに組み込むことが可能になり、容量が増加した製品の製造に役立つ。しかしながら、いっそう多くの容量への動きに問題がないわけではない。各デバイスの性能を最適化する必要性がますます重要になる。
集積回路は一般に、当技術分野でビアとして知られている導電性マイクロ電子構造を含み、ビアの上側の金属線又は他の相互接続をビアの下側の金属線又は他の相互接続に電気的に接続する。ビアは通常、リソグラフィプロセスによって形成される。代表的には、フォトレジスト層を誘電体層の上にスピンコーティングすることができ、パターン化マスクを通してパターン化された化学線にフォトレジスト層を露光することができ、それから、フォトレジスト層に開口部を形成するために、露光された層を現像することができる。次に、フォトレジスト層の開口部をエッチングマスクとして使用することによって、ビア用の開口部を誘電体層にエッチングすることができる。この開口部は、ビア開口部と呼ばれる。最終的に、ビアは、1つ又は複数の金属又は他の導電材料で充填することができる。
過去に、ビアのサイズ及び間隔は漸進的に減少しており、少なくとも一部の種類の集積回路(例えば、高度なマイクロプロセッサ、チップセットコンポーネント、グラフィックスチップなど)については、将来、ビアのサイズ及び間隔は漸進的に減少し続けることになると予想される。1つの課題は、ビアとその上にある相互接続との間のオーバレイ、及びビアとその下にあるランディング相互接続との間のオーバレイは、全般的に、ビアピッチの4分の1のオーダの高い許容誤差に制御される必要があることである。ビアピッチは、時間とともにさらに小さくなるので、オーバレイ許容誤差は、リソグラフィ装置がついていくことができるよりもさらに高速度でそれらに対応する傾向がある。
さらなる課題は、極めて小さいビアピッチは、全般的に、超紫外線(EUV)リソグラフィスキャナの分解能さえも下回る傾向があるということである。結果として、一般に、2つ、3つ、又はより多くの異なるリソグラフィマスクが使用される場合があり、それによりコストが増加する傾向がある。ピッチが減少し続ける場合、ある時点で、複数のマスクを用いても、EUVスキャナを使用してこれらの極めて小さいピッチのためのビア開口部を印刷することが可能でない場合がある。
バックエンドオブライン(BEOL)構造のための自己整合相互接続パターニングがさまざまな実施形態で開示される。1つの実施形態で、集積回路用の相互接続構造を製造する方法は、初期相互接続構造上に第1の金属層を堆積させるステップと、第1の金属層上に、凹状フィーチャが含まれているパターン化スペーサ層を形成するステップと、パターン化スペーサ層の凹状フィーチャをマスクとして使用して、第1の金属層に、及び初期相互接続構造に自己整合ビアをエッチングするステップとを含む。方法は、第1の金属層のビア及びパターン化スペーサ層の凹状フィーチャを第2の金属層で充填するステップと、パターン化スペーサ層を除去するステップと、第2の金属層をマスクとして使用して、第1の金属層に凹状フィーチャをエッチングするステップとをさらに含む。
添付の図面は、この明細書に組み入れられ、この明細書の一部を構成するが、それらは、本発明の実施形態を例示し、上記の本発明の全般的な説明、及び下記の詳細な説明とともに、本発明を説明する役割を果たす。
本発明の一実施形態による、サブトラクティブ自己整合相互接続形成方法におけるさまざまな工程を表す集積回路層の部分を例示する。 本発明の一実施形態によるさまざまな工程を表す集積回路層の部分を例示する。
BEOL相互接続のためのサブトラクティブ自己整合ビア及びトレンチパターニングについてさまざまな実施形態で説明する。サブトラクティブ法は、一般的なデュアルダマシン処理方式の代替を提供し、層間絶縁(ILD)材料へのプラズマエッチング損傷を回避する。以下の説明では、本発明の実施形態を不必要に不明瞭にしないために、集積回路設計レイアウトなどの周知の特徴については詳細に説明しない。さらに、図に示されたさまざまな実施形態は、例示的表現であり、必ずしも原寸に比例して描かれていないことを理解すべきである。
1つ又は複数の実施形態は、導電性ビア及び相互接続を形成するためにサブトラクティブ技法を使う手法を対象とする。ビアは、定義上、前層の金属パターンにランディングするために使用される。この調子で、本明細書で説明される実施形態は、リソグラフィ装置による位置合わせにもはや依存しないので、より堅牢な相互接続製造方式を可能にする。そのような相互接続製造方式は、多数の位置合わせ/露光を節約するために使用することができ、自己整合技術によって電気接点の歩留りを改善するために使用することができる。
自己整合接点及びビアパターニングは、単一リソグラフィフィーチャから複数の接点又はビアをパターニングするために使用することができる。それは、拡大されたフィーチャのレジストマスクと、事前にパターン化されたハードマスク層によって囲まれた下にあるトレンチとの交差部分を利用する。この技法は、例えば、DRAMセルで使用することができ、同様に、ピッチ分割接点及びビアの多重露光を回避するために高度な論理に使用することができる。
図1A~図1Oは、本発明の一実施形態による、サブトラクティブ自己整合相互接続形成方法におけるさまざまな工程を表す集積回路層の部分を例示する。
図1Aは、本発明の一実施形態による、深いビア金属線製造後の自己整合相互接続形成のための初期相互接続構造を含む基板100を例示する。基板100は、最下層102、エッチング停止層(ESL)104、層間絶縁(ILD)フィーチャ110、並びにILDフィーチャ110間の金属線106及び誘電体ハードマスク(HM)線108を含む。
一実施形態で、ILDフィーチャ110の材料などの、IDL材料は、誘電又は絶縁材料の層から構成されるか、又はこれを含む。適当な誘電材料の例は、ケイ素の酸化物(例えば、二酸化ケイ素(SiO))、ケイ素のフッ化酸化物、ケイ素の炭素ドープ酸化物、当技術分野で既知のさまざまな低k誘電材料、及びそれらの組み合わせを含むが、これに限定されない。ILD材料は、例えば、化学蒸着(CVD)、物理蒸着(PVD)などの従来の技法によって、又は他の堆積方法によって、形成することができる。
一実施形態で、本明細書全体を通して同様に使用されるように、金属線106の材料などの相互接続材料は、1つ又は複数の金属又は他の導電性構造から構成される。一般的な例は、銅線及び銅と周囲のILD材料との間にバリア層を含む場合も含まない場合もある構造の使用である。金属相互接続線は、バリア層、異なる金属又は合金のスタックなどを含み得る。いくつかの実施形態によれば、金属線106は、ルテニウム(Ru)金属、コバルト(Co)金属、又は銅(Cu)金属を含有するか、又はそれらからなることができる。
図1Bは、ESL112、第1の金属層114、及び誘電体ハードマスク(HM)層116の堆積後の図1Aの構造を例示する。1つの例において、誘電体HM線108及び誘電体HM層116は、同じ材料を含み得る。いくつかの実施形態によれば、第1の金属層114は、Ru金属、Co金属、又はCu金属を含有するか、又はそれらからなることができる。
図1Cは、誘電体HM層116上に形成された凹状フィーチャ125が含まれているパターン化スペーサ層118を示す。例えば、従来のリソグラフィに基づくパターンを最初に形成することができるが、当技術分野で知られているように、ピッチは、それからスペーサ・マスク・パターニングの使用によって半分にすることができる。さらに、元のピッチは、スペーサ・マスク・パターニングの2回目のラウンドによって4分の1にすることができる。したがって、パターン化スペーサ層118は、自己整合多重パターニング(SAMP)、例えば自己整合二重パターニング(SADP)又は自己整合四重パターニング(SAQP)によって形成することができる。いくつかの例において、パターン化スペーサ層118は、SiO、SiN、又はSiOとSiNの組み合わせを含有し得る。
図1Dは、パターン化スペーサ層118の凹状フィーチャ125を充填する有機平坦化層(OPL)120、OPL120上に形成される反射防止コーティング(ARC)122、及びARC122上に形成される凹状フィーチャ126が含まれているパターン化フォトレジスト層124の堆積後の図1Cの構造を例示する。ARC122は、架橋結合され、異なるSi含有量を有するSi含有ポリマーを含むことができるSiARC層を含み得る。現在フォトリソグラフィに使用されている代表的なSiARC層は、17%のSi(SiARC17%)のケイ素含有量又は43%のSi(SiARC43%)のケイ素含有量を有し得る。本発明のいくつかの実施形態によれば、SiARC層は、約10%~約40%の間のSi含有量、又は約40%よりも大きいSi含有量を有し得る。OPL120は、感光性有機ポリマー又はエッチング型有機化合物を含むことができる。例えば、感光性有機ポリマーは、ポリアクリレート樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、ポリイミド樹脂、不飽和ポリエステル樹脂、ポリフェニレンエーテル樹脂、ポリフェニレンスルフィド樹脂又はベンゾシクロブテン(BCB)とし得る。これらの材料は、スピンオン技法を使用して形成することができる。OPL120は、硬化プロセス中に架橋構造を形成する有機材料(例えば、(CH)とし得る。パターン化フォトレジスト層124は、周知のリソグラフィ及びエッチング方法を使用して形成することができる。
図1Eは、自己整合ビア128を形成する自己整合ビア形成エッチングプロセス、並びに後続のパターン化フォトレジスト層124及びARC122の除去の後の図1Dの構造を例示する。自己整合ビア128は、ILDフィーチャ110間の誘電体ハードマスク(HM)線108を貫いて延在し、金属線106の1つで停止する。1つの例において、自己整合ビア128は、20~40nm(ほぼ、隣接するILDフィーチャ110間の距離)の幅及び約100nmの深さを有することができる。ILDフィーチャ110と、ILDフィーチャ110間のHM線108との間に良好なエッチング選択性が必要とされることを当業者は容易に正しく理解することになる。
図1Fは、OPL120を除去し、パターン化スペーサ層118の凹状フィーチャ125を開くアッシングプロセス後の図1Eの構造を例示する。
図1Gは、自己整合ビア128及びパターン化スペーサ層118の凹状フィーチャ125を充填する第2の金属層142の堆積後の図1Fの構造を例示する。さらに、第2の金属層142は、金属線106の1つと直接接触し、これとの電気的接続を提供する。いくつかの実施形態によれば、第2の金属層142は、Ru金属、Co金属、又はCu金属を含有するか、又はそれらからなることができる。
図1Hは、パターン化スペーサ層118より上にある第2の金属層142の部分を除去するエッチバック又は化学機械研磨(CMP)プロセスの後の図1Gの構造を例示する。図1Hに描写されるように、第2の金属層142は、パターン化スペーサ層118の最上部より下に凹ませることができる。
図1Iは、パターン化スペーサ層118を除去し、それにより第2の金属層142の隣接するフィーチャ間に凹状フィーチャ132を形成するエッチングプロセス後の図1Hの構造を例示する。
図1Jは、第2の金属層142を金属ハードマスクとして使用して誘電体HM層116及び第1の金属層114を異方性エッチングし、それにより、第1の金属層114を貫いて凹状フィーチャ132を拡張するエッチングプロセス後の図1Iの構造を例示する。エッチングされた第1の金属層114は、図1Jには示されないが、第2の金属層142の前後に同様に存在し得る、完全に自己整合した相互接続を形成する。1つの実施形態によれば、第1の金属層114と第2の金属層142との間に高いエッチング選択性を提供するために、第1の金属層114及び第2の金属層142に異なる金属を使用することができる。別の実施形態によれば、第1の金属層114に対して第2の金属層142の厚さを最適化することによって、第1の金属層114及び第2の金属層142に同じ金属を使用することができる。例えば、第1の金属層114に対して第2の金属層142の厚さを増加させることは、第1の金属層114及び第2の金属層142に同じ金属を使用することを可能にすることができる。
1つの実施形態によれば、図1Jの基板100のさらなる処理が図1K~図1Oに概略的に示される。
図1Kは、凹状フィーチャ132を充填し、パターン化された第2の金属層142の上にオーバーバーデンを形成するILD層146の堆積後の図1Jの構造を例示する。ILD層146は、例えば、スピンオン堆積によって形成することができる。
図1Lは、誘電体HM層116より上のILD層146及び第2の金属層142を除去する平坦化プロセス(例えば、化学機械平坦化(CMP))後の図1Kの構造を例示する。ILD層146は、第1の金属層114及び第2の金属層142のプラズマパターニング後に堆積され、平坦化されるので、ILD層146のプラズマ損傷は回避される。
図1Mは、第2の金属層142を凹ませ、ILD層146のフィーチャ間に凹状フィーチャ144を形成するエッチバックプロセス後の図1Lの構造を例示する。
図1Nは、追加の誘電体ハードマスク(HM)層136の堆積後の図1Mの構造を例示する。1つの例において、追加の誘電体HM層136及び誘電体HM層116は、同じ材料を含有し得る。
図1Oは、誘電体HM層116及びILD層146より上の追加の誘電体HM層136を除去する平坦化プロセス(例えば、CMP)後の図1Nの構造を例示する。
別の実施形態によれば、図1Jの基板100のさらなる処理が図2A~図2Cに概略的に示される。図1Jの基板100は、図2Aで基板200として再現される。
図2Bは、誘電体HM層116より上の第2の金属層142の除去後の図2Aの構造を例示する。
図2Cは、キャップ材料138の下の第1及び第2の金属層114、142間に空隙140を形成するキャップ材料138の堆積後の図2Bの構造を例示する。キャップ材料138は、反応物質が第1及び第2の金属層114、142間の空間に入らない気相堆積を使用して非コンフォーマルに堆積させることができる。
バックエンドオブライン(BEOL)構造のための自己整合相互接続パターニングがさまざまな実施形態で開示された。本発明の実施形態の前述の説明は、例示及び説明の目的で提示された。それは、網羅的であるように、又は本発明を開示された正確な形態に限定するように意図されていない。本明細書及び以下の特許請求の範囲は、説明目的でのみ使用され、限定するものと解釈されるべきでない用語を含む。上記の教示を踏まえて多くの修正形態及び変形形態が可能であることを関連技術の当業者は正しく理解することができる。当業者は、図に示されたさまざまな構成要素に対するさまざまな同等の組み合わせ及び置換を認識することになる。したがって、本発明の範囲は、この詳細な説明によってではなく、本明細書に添付された特許請求の範囲によって限定されることが意図される。

Claims (20)

  1. 集積回路用の相互接続構造を製造する方法であって、前記方法は、
    初期相互接続構造上に第1の金属層を堆積させるステップと、
    前記第1の金属層上に、凹状フィーチャが含まれているパターン化スペーサ層を形成するステップと、
    前記パターン化スペーサ層の凹状フィーチャをマスクとして使用して、前記第1の金属層に、及び前記初期相互接続構造に自己整合ビアをエッチングするステップと、
    前記第1の金属層の前記ビア及び前記パターン化スペーサ層の前記凹状フィーチャを第2の金属層で充填するステップと、
    前記パターン化スペーサ層を除去するステップと、
    前記第2の金属層をマスクとして使用して、前記第1の金属層に凹状フィーチャをエッチングするステップと、
    を含む、方法。
  2. 前記第1の金属層を堆積させるステップは、
    前記初期相互接続構造上にエッチング停止層(ESL)を堆積させるステップと、前記エッチング停止層上に前記第1の金属層を堆積させるステップと、前記第1の金属層上に誘電体ハードマスクを堆積させるステップと
    を含む、請求項1に記載の方法。
  3. 前記パターン化スペーサ層は、前記誘電体ハードマスク上に形成される、請求項2に記載の方法。
  4. 前記初期相互接続構造は、層間絶縁フィーチャ、並びに前記層間絶縁フィーチャ間の金属線及び誘電体ハードマスク線を含み、前記誘電体ハードマスク線は、前記金属線の上に配置される、請求項1に記載の方法。
  5. 前記パターン化スペーサ層の前記凹状フィーチャを充填する有機平坦化層(OPL)を堆積させるステップと、
    前記OPL上に反射防止コーティング(ARC)を堆積させるステップと、
    前記ARC上にパターン化フォトレジスト層を形成するステップと、
    をさらに含む、請求項1に記載の方法。
  6. 前記第1の金属層における前記自己整合ビアの前記エッチングの後に、前記パターン化スペーサ層の前記凹状フィーチャから前記OPLを除去するステップ
    をさらに含む、請求項に記載の方法。
  7. 前記パターン化スペーサ層より上にある前記第2の金属層の部分を除去するステップ
    をさらに含む、請求項1に記載の方法。
  8. 前記第1の金属層の前記凹状フィーチャを充填する層間絶縁層を堆積させるステップ
    をさらに含む、請求項1に記載の方法。
  9. 前記パターン化スペーサ層は、誘電体ハードマスク上に形成され、
    前記誘電体ハードマスクより上の前記層間絶縁層及び前記第2の金属層を除去するステップ
    をさらに含む、請求項8に記載の方法。
  10. 前記第2の金属層を前記層間絶縁の最上部より下に凹ませるステップ
    をさらに含む、請求項9に記載の方法。
  11. 前記第2の金属層の上に前記凹状フィーチャを充填する追加の誘電体ハードマスク層を堆積させるステップ
    をさらに含む、請求項10に記載の方法。
  12. 前記層間絶縁層の最上部より上の前記追加の誘電体ハードマスク層を除去するステップ
    をさらに含む、請求項11に記載の方法。
  13. 前記パターン化スペーサ層は、誘電体ハードマスク上に形成され、
    前記誘電体ハードマスクより上の前記第2の金属層を除去するステップ
    をさらに含む、請求項1に記載の方法。
  14. キャップ材料の下の前記第1及び第2の金属層間に空隙を形成する前記キャップ材料を堆積させるステップ
    をさらに含む、請求項13に記載の方法。
  15. 集積回路用の相互接続構造を製造する方法であって、前記方法は、
    初期相互接続構造上にエッチング停止層(ESL)を堆積させるステップと、前記エッチング停止層上に第1の金属層を堆積させるステップと、前記第1の金属層上に誘電体ハードマスクを堆積させるステップと、
    前記誘電体ハードマスク上に、凹状フィーチャが含まれているパターン化スペーサ層を形成するステップと、
    前記パターン化スペーサ層の凹状フィーチャをマスクとして使用して、前記第1の金属層に、及び前記初期相互接続構造に自己整合ビアをエッチングするステップと、
    前記第1の金属層の前記ビア及び前記パターン化スペーサ層の前記凹状フィーチャを第2の金属層で充填するステップと、
    前記パターン化スペーサ層を除去するステップと、
    前記第2の金属層をマスクとして使用して、前記第1の金属層に凹状フィーチャをエッチングするステップと、
    前記第1の金属層の前記凹状フィーチャを充填する層間絶縁層を堆積させるステップと、
    を含む、方法。
  16. 前記パターン化スペーサ層の前記凹状フィーチャを充填する有機平坦化層(OPL)を堆積させるステップと、
    前記OPL上に反射防止コーティング(ARC)を堆積させるステップと、
    前記ARC上にパターン化フォトレジスト層を形成するステップと、
    をさらに含む、請求項15に記載の方法。
  17. 前記第1の金属層に前記自己整合ビアをエッチングした後に、前記パターン化スペーサ層の前記凹状フィーチャからOPLを除去するステップ
    をさらに含む、請求項16に記載の方法。
  18. 前記パターン化スペーサ層より上にある前記第2の金属層の部分を除去するステップ
    をさらに含む、請求項15に記載の方法。
  19. 前記初期相互接続構造は、層間絶縁フィーチャ、並びに前記層間絶縁フィーチャ間の金属線及び誘電体ハードマスク線を含み、前記誘電体ハードマスク線は、前記金属線の上に配置される、請求項15に記載の方法。
  20. 集積回路用の相互接続構造を製造する方法であって、前記方法は、
    初期相互接続構造上にエッチング停止層(ESL)を堆積させるステップと、前記エッチング停止層上に第1の金属層を堆積させるステップと、前記第1の金属層上に誘電体ハードマスクを堆積させるステップと、
    前記誘電体ハードマスク上に、凹状フィーチャが含まれているパターン化スペーサ層を形成するステップと、
    前記パターン化スペーサ層の前記凹状フィーチャを充填する有機平坦化層(OPL)を堆積させるステップと、
    前記OPL上に反射防止コーティング(ARC)を堆積させるステップと、
    前記ARC上にパターン化フォトレジスト層を形成するステップと、
    前記パターン化スペーサ層の凹状フィーチャをマスクとして使用して、前記第1の金属層に、及び前記初期相互接続構造に自己整合ビアをエッチングするステップと、
    前記パターン化スペーサ層の前記凹状フィーチャから前記OPLを除去するステップと、
    前記第1の金属層の前記ビア及び前記パターン化スペーサ層の前記凹状フィーチャを第2の金属層で充填するステップと、
    前記パターン化スペーサ層より上にある前記第2の金属層の部分を除去するステップと、
    前記パターン化スペーサ層を除去するステップと、
    前記第2の金属層をマスクとして使用して、前記第1の金属層に凹状フィーチャをエッチングするステップと、
    前記第1の金属層の前記凹状フィーチャを充填する層間絶縁層を堆積させるステップと、
    を含む、方法。
JP2020554243A 2018-04-03 2019-04-03 完全自己整合方式を使用するサブトラクティブ相互接続形成 Active JP7348441B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862651938P 2018-04-03 2018-04-03
US62/651,938 2018-04-03
PCT/US2019/025583 WO2019195422A1 (en) 2018-04-03 2019-04-03 Subtractive interconnect formation using a fully self-aligned scheme

Publications (2)

Publication Number Publication Date
JP2021520637A JP2021520637A (ja) 2021-08-19
JP7348441B2 true JP7348441B2 (ja) 2023-09-21

Family

ID=68055142

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020554243A Active JP7348441B2 (ja) 2018-04-03 2019-04-03 完全自己整合方式を使用するサブトラクティブ相互接続形成

Country Status (5)

Country Link
US (1) US10886176B2 (ja)
JP (1) JP7348441B2 (ja)
KR (1) KR20200128441A (ja)
TW (1) TWI797304B (ja)
WO (1) WO2019195422A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11227829B2 (en) 2018-03-29 2022-01-18 Intel Corporation Device terminal interconnect structures
US11532558B2 (en) 2019-09-27 2022-12-20 Intel Corporation Metallization barrier structures for bonded integrated circuit interfaces
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
US11456206B2 (en) * 2020-07-22 2022-09-27 Nanya Technology Corporation Semiconductor structure and method of manufacturing the same
US11444024B2 (en) 2020-11-02 2022-09-13 Intel Corporation Subtractively patterned interconnect structures for integrated circuits
CN113327889B (zh) * 2021-05-28 2023-09-29 上海华力微电子有限公司 与非型闪存器件的接触孔制造方法
US20230127477A1 (en) * 2021-10-26 2023-04-27 Texas Instruments Incorporated Multi-level microelectromechanical system structure with non-photodefinable organic polymer spacer layers

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024811A (ja) 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法
JP2011187516A (ja) 2010-03-05 2011-09-22 Hitachi High-Technologies Corp プラズマエッチング方法
JP2012015268A (ja) 2010-06-30 2012-01-19 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び半導体装置
JP2013168687A (ja) 2007-06-15 2013-08-29 Samsung Electronics Co Ltd 半導体素子の製造方法
US20150243518A1 (en) 2014-02-23 2015-08-27 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
US20170062271A1 (en) 2015-08-28 2017-03-02 International Business Machines Corporation Chemoepitaxy-based directed self assembly process with tone inversion for unidirectional wiring
JP2018532271A (ja) 2015-10-15 2018-11-01 東京エレクトロン株式会社 インターコネクトのための選択的なボトムアップ式金属フィーチャ充填

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100726145B1 (ko) * 2002-07-19 2007-06-13 주식회사 하이닉스반도체 반도체소자 제조방법
US8062971B2 (en) 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
DE102009023377B4 (de) * 2009-05-29 2017-12-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
KR102167351B1 (ko) 2013-09-27 2020-10-19 인텔 코포레이션 라인 백엔드(Back End of Line)(BEOL) 상호접속을 위한 삭감 자기 정렬 비아 및 플러그 패터닝
WO2015047320A1 (en) 2013-09-27 2015-04-02 Intel Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9111931B2 (en) 2014-01-22 2015-08-18 Nanya Technology Corporation Method of forming an interconnect structure with high process margins
US10103032B2 (en) * 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
EP3217425B1 (en) 2016-03-07 2021-09-15 IMEC vzw Self-aligned interconnects and corresponding method
JP6993982B2 (ja) * 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
KR102658192B1 (ko) 2016-07-27 2024-04-18 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9911651B1 (en) * 2016-10-26 2018-03-06 International Business Machines Corporation Skip-vias bypassing a metallization level at minimum pitch

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024811A (ja) 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法
JP2013168687A (ja) 2007-06-15 2013-08-29 Samsung Electronics Co Ltd 半導体素子の製造方法
JP2011187516A (ja) 2010-03-05 2011-09-22 Hitachi High-Technologies Corp プラズマエッチング方法
JP2012015268A (ja) 2010-06-30 2012-01-19 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び半導体装置
US20150243518A1 (en) 2014-02-23 2015-08-27 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
US20170062271A1 (en) 2015-08-28 2017-03-02 International Business Machines Corporation Chemoepitaxy-based directed self assembly process with tone inversion for unidirectional wiring
JP2018532271A (ja) 2015-10-15 2018-11-01 東京エレクトロン株式会社 インターコネクトのための選択的なボトムアップ式金属フィーチャ充填

Also Published As

Publication number Publication date
US20190304836A1 (en) 2019-10-03
TWI797304B (zh) 2023-04-01
US10886176B2 (en) 2021-01-05
KR20200128441A (ko) 2020-11-12
TW202002170A (zh) 2020-01-01
WO2019195422A1 (en) 2019-10-10
JP2021520637A (ja) 2021-08-19

Similar Documents

Publication Publication Date Title
JP7348441B2 (ja) 完全自己整合方式を使用するサブトラクティブ相互接続形成
CN108615702B (zh) 具互连结构的半导体装置与其制作方法
US8614144B2 (en) Method for fabrication of interconnect structure with improved alignment for semiconductor devices
US8404581B2 (en) Method of forming an interconnect of a semiconductor device
JP2017500744A (ja) リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト
US11594419B2 (en) Reduction of line wiggling
US11004740B2 (en) Structure and method for interconnection with self-alignment
US11087994B2 (en) Via connection to a partially filled trench
US10685874B1 (en) Self-aligned cuts in an interconnect structure
JP2020505770A (ja) 相互接続構造及びその形成方法
TWI744897B (zh) 形成半導體裝置結構的方法
US10770392B1 (en) Line end structures for semiconductor devices
US10818505B2 (en) Self-aligned double patterning process and semiconductor structure formed using thereof
CN112151497B (zh) 半导体结构以及形成半导体结构的方法
KR20230098237A (ko) 자기-정렬된 상단 비아
US20230377956A1 (en) Method of forming an interconect structure of a semiconductor device
US11189528B2 (en) Subtractive RIE interconnect
US11361993B2 (en) Method for inverse via patterning for back end of line dual damascene structures
CN115910759A (zh) 用于图案化的方法
CN115602628A (zh) 半导体结构

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230530

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230801

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230809

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230809

R150 Certificate of patent or registration of utility model

Ref document number: 7348441

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150