JP2020505770A - 相互接続構造及びその形成方法 - Google Patents

相互接続構造及びその形成方法 Download PDF

Info

Publication number
JP2020505770A
JP2020505770A JP2019539194A JP2019539194A JP2020505770A JP 2020505770 A JP2020505770 A JP 2020505770A JP 2019539194 A JP2019539194 A JP 2019539194A JP 2019539194 A JP2019539194 A JP 2019539194A JP 2020505770 A JP2020505770 A JP 2020505770A
Authority
JP
Japan
Prior art keywords
metal
opening
dielectric material
interconnect
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019539194A
Other languages
English (en)
Other versions
JP2020505770A5 (ja
JP7027432B2 (ja
Inventor
チェ,スー,ドゥー
スミス,ジェフリー
ルーシンク,ゲリット,ジェイ.
クラーク,ロバート,ディー.
ユ,カイ−フン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2020505770A publication Critical patent/JP2020505770A/ja
Publication of JP2020505770A5 publication Critical patent/JP2020505770A5/ja
Application granted granted Critical
Publication of JP7027432B2 publication Critical patent/JP7027432B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導体デバイスはが提供される。半導体デバイスは、誘電体材料内に形成された複数の相互接続開口を含むことができる。本明細書に開示される相互接続開口は、トレンチ開口、ビア開口、またはデュアルダマシン開口を有することができる。第1金属は、相互接続開口内にコンフォーマルに充填されることができ、誘電体材料と直接接触することができる。半導体デバイスは、同様に、相互接続開口内に充填される第2の金属を有することができる。第2金属は、相互接続開口内に相互接続構造を形成するために、第1金属上に形成されることができ、第1金属によってカプセル化されることができる。半導体デバイスは、誘電体材料内に形成された複数の導電層をさらに含むことができ、導電層は、相互接続構造の底部にあり、相互接続構造と直接接触することができる。

Description

関連出願についての相互参照
本出願は、2017年1月20日に出願された米国仮出願第62/448,788号の利益を主張し、その全内容は参照により本明細書に組み込まれる。
本発明は、所与の基板又はウェハ上の材料のパターニング、堆積、及び除去のためのシステム及びプロセスを含む半導体微細加工に関する。
半導体デバイスは、スマートフォン、ラップトップ、デジタルカメラ、その他の機器等、様々な電子機器に広く使用されている。一般に、典型的な半導体デバイスは、トランジスタ、キャパシタ、インダクタ、及び他の構成要素のような能動デバイスを有する基板を含む。これらの能動デバイスは、当初は互いに分離されており、その後、機能回路を生成するために、相互接続構造が能動デバイス上に形成される。かかる相互接続構造は、金属ライン(配線)のような横方向の相互接続と、導電性ビア又はコンタクトプラグのような垂直方向の相互接続とを含むことができる。
ますます複雑化・高度化する多くの機能を同時にサポートすることができる、より小型で高速な半導体デバイスに対する需要がますます高まっている。この縮小プロセスは、一般に、生産効率を向上させ、関連するコストを低下させることにより、利点を提供する。それにもかかわらず、このような縮小はまた、半導体デバイスの加工及び製造の複雑さを増大させている。半導体デバイスの寸法が、先進技術ノードにおいてより小さなサブミクロンサイズにスケールするにつれて、相互接続構造の寸法を減少させながら相互接続構造の抵抗を低減させることが、増大する課題となる。改善された構造及びそれを製造するための方法が必要である。
一態様では、半導体デバイスは、誘電体材料内に形成された相互接続開口を含むことができる。本明細書に開示される相互接続開口は、トレンチ開口、ビア開口、又はデュアルダマシン開口を有することができる。第1金属は、相互接続開口の表面をコンフォーマルに覆うことができ、さらに、誘電体材料と直接接触するか、予め堆積された薄いライナ又はバリア壁材料と接触することができる。導体デバイスは、同様に、相互接続開口内に充填される第2の金属を有することができる。第2金属は、相互接続開口内に相互接続構造を形成するために、第1金属上に(over the first metal)形成されることができ、第1金属によってカプセル化されることができる。いくつかの実施形態では、第1金属は、高アスペクト比を有する相互接続開口内にコンフォーマルに堆積され、第2金属に対するバリア/ライナとして作用することができる。本明細書におけるアスペクト比とは、相互接続開口における、トレンチ開口の高さに対する幅の比、又はビア開口の高さに対する幅の比を意味する。一実施形態では、第1金属は、高アスペクト比を有するトレンチ開口又はビア開口におけるコンフォーマルカバレッジを提供することができるルテニウム(Ru)であってもよい。第2金属は、第1金属よりも低い抵抗率を有することができるが、誘電体への金属拡散又はエレクトロマイグレーション(EM)等のいくつかの考えられる理由のために、単独で直接堆積するためには適した材料ではない虞がある。これらの場合、例えば数ナノメートルの厚さの、比較的厚いライナ及び/又はバリア膜が、第2金属を単独で使用するために必要とされる。厚いライナ及び/又は金属スタックへのバリアの組み込みは、しばしば、そのような適切なライナ及び/又はバリア材料の抵抗率が、使用されるバルク金属の抵抗率より1桁以上大きいという事実を含む。場合によっては、第1金属が周囲の誘電体に対していかなるバリアも必要とせず、Ruのようなほぼ無限の寿命を有するように、かつ、第2金属が単独で使用される場合に周囲の誘電体に対して通常著しい拡散を有するようなとき、例えばRu等の第1金属自体が第2金属に対してバリア材料として作用することができるように、第1金属及び第2金属充填物の金属選択を行うことができる。一実施形態において、第2金属は、例えば、銅(Cu)であり得る。したがって、高い抵抗率のバリア又はライナ膜の使用を必要とする代わりに、Ru等のより低い抵抗率の金属を、アクティブに相互接続構造の一部であるバリア層として使用することができる。本明細書の開示において、トレンチ開口に充填された金属は、横方向の相互接続を提供する相互接続構造の金属ラインとなり、ビア開口に充填された金属は、半導体デバイスに垂直方向の相互接続を提供する導電性バイアとなる。半導体デバイスは、誘電体材料内に形成された複数の導電層をさらに含むことができ、導電層は、相互接続構造の底部にあり、相互接続構造と直接接触することができる。一実施形態によると、例えばRu等の、導電層は、バックエンドライン(BEOL)処理における金属化層であり得る。他の実施形態では、導電層は、ゲート電極の上に形成される導電層であることができ、又は、ドーピング基板領域(例えば、ドレイン又はソース領域)の上に形成される導電層であることができる。種々の実施形態では、導電層は、半導体デバイス内の任意の導電性構成要素であってもよい。半導体デバイスは、さらに、誘電体材料の頂部表面(a top surface)よりも低い相互接続構造の頂部表面を有することができる。
別の態様においては、半導体デバイスを製造する方法は、誘電体材料を形成するステップと、誘電体材料内に複数の相互接続開口を形成するステップとを含む。相互接続開口は、トレンチ開口、ビア開口、又はデュアルダマシン開口を含むことができる。誘電体材料は、誘電体材料内に複数の導電層を含むことができ、導電層は、相互接続開口の底部に形成され、相互接続開口と直接接触する。本方法は、また、相互接続開口を充填するために第1金属を堆積するステップと、相互接続開口を充填するために第1金属上に第2金属を堆積するステップと、第2の金属を凹ませる又は凹部形成するステップ(recessing)とを含むことができる。いくつかの実施形態では、例えばRu等の、第1金属は、高いアスペクト比を有する開口におけるコンフォーマルカバレッジ(conformal coverage)を提供する特性を有することができ、例えばCu等の、第2金属は、第1金属よりも低い抵抗率を有することができる。第1金属は、低い金属拡散を有することができ、第1金属と周囲の誘電体材料との間に予め堆積されるバリア/ライナを導入することなく堆積させることができる。第1金属は、第2金属に対するバリア/ライナとして作用することもできる。この方法は、相互接続構造上に金属キャップを形成して第2金属に対する金属化された低抵抗バリア材料として機能させるために、第1金属および第2金属上に第3金属を堆積するステップであって、相互接続開口を完全に充填する、ステップをさらに含むことができる。このキャップは、第2金属から、相互接続構造の上にその後堆積され、隣接する上下の金属層間の絶縁を提供する誘電体までの拡散を防止する金属バリアの機能を果たし、さらに第2金属に対して良好なEM制御を提供し得る。実施例において、例えば、第3金属は、第1の金属(例えばRu)と同じでありえる。第2金属は、第3金属の形成の後、第1金属及び第3金属によってカプセル化されることができる。本方法は、半導体デバイスを平坦化するステップを含むことができ、ここで誘電体材料の頂部表面は相互接続開口に充填された金属の頂部表面と同じ高さとなる。本方法は、相互接続開口に充填された金属を凹部形成するステップをさらに含むことができ、ここで、相互接続開口に充填された金属の頂部表面は誘電体材料の頂部表面より低くなることができる。
別の態様では、半導体デバイスは、誘電体材料内に形成された複数の相互接続開口を有することができる。相互接続開口は、トレンチ開口、ビア開口、又はデュアルダマシン開口を含むことができる。第1金属は、相互接続開口の表面をコンフォーマルに覆うことができ、誘電体材料と直接接触することができる。第1金属より低い抵抗率を有する第2金属は、相互接続開口の中で相互接続構造を形成するために、第1金属上に形成されることができる。本開示において、第2金属の頂部表面は、第1金属の頂部表面と同じ高さであることができる。半導体デバイスはまた、相互接続構造の下の誘電体材料内に形成された複数の導電層を含むことができ、複数の導電層のうちの少なくとも1つは、相互接続構造と直接接触することができる。本願明細書において開示において、相互接続構造の頂部表面は誘電体材料の頂部表面よりも低くてもよい。
本開示の態様は、添付の図面とともに読まれるとき、以下の詳細な説明から最もよく理解される。業界の標準的な慣行に従って、さまざまなフィーチャが縮尺どおりに描かれていないことに留意されたい。実際には、説明を明確にするために、さまざまなフィーチャの寸法を任意に拡大又は縮小することができる。
いくつかの実施態様による例示的な半導体デバイスの斜視図を模式的に示す図である。 いくつかの実施態様による例示的な半導体デバイスを模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造する種々の中間ステップの例を模式的に示す図である。 いくつかの実施態様による代替的な半導体デバイスの例を模式的に示す図である。 いくつかの実施態様による半導体デバイスを製造するための例示的なプロセスフローを示す図である。 原子層堆積(ALD)プロセスによる又はコンフォーマルCVD堆積プロセスによるルテニウム(Ru)堆積の断面走査型電子顕微鏡(SEM)グラフを示す図である。 コンフォーマルCVD堆積プロセスによるRu類似物堆積の断面走査型透過電子顕微鏡(STEM)グラフを示す図である。
以下の開示は、提供された主題の異なるフィーチャを実装するための多くの異なる実施形態又は実施例を提供する。本開示を簡略化するために、コンポーネント及び配置の特定の例が以下で説明される。もちろん、これらは単なる例であり、限定することを意図したものではない。例えば、本明細書において、第2フィーチャ上又は第2フィーチャの表面上への(over or on a second feature)第1フィーチャの形成は、その後(follows)、第1フィーチャ及び第2フィーチャが直接接触するように形成される実施形態を含むことができ、又は、第1フィーチャと第2フィーチャとが直接接触できないように付加的フィーチャが第1フィーチャと第2フィーチャとの間に形成されることができる実施形態も含みうる。さらに、本開示は、種々の実施例おいて参照符号及び/又は文字を繰り返すことができる。この繰り返しは、単純化及び明瞭化を目的とするものであり、それ自体、検討されているさまざまな実施形態及び/又は構成間の関係を規定するものではない。さらに、本明細書では、「下(beneath)」、「下方(below)」、「低い又は下部(lower)」、「上(above)」、「上部(upper)」等の空間的に相対的な用語は、図面に示されている、1つの要素又はフィーチャの、他の(複数の)要素又は(複数の)フィーチャとの関係を述べるための説明を簡単にするために用いられることができる。空間的に相対的な用語は、図に示されている向きに加えて、使用中又は操作中のデバイスのさまざまな向きを包含することを意図する。装置は他の方向に向けられ(90度又は他の方向に)、本明細書で使用される空間的に相対的な記述も、それに応じて同様に解釈され得る。
本発明は、一般に、集積回路内の相互接続構造の製造に関し、より詳細には、堆積、凹部形成(recessing)、堆積プロセスを使用して、複数の金属を含む相互接続構造を形成することに関する。本明細書で開示される相互接続構造は、低抵抗及び良好な信頼性を有することができる。本明細書の技術には、半導体デバイスの製造のための構造及び方法が含まれる。かかる技術は、例えば、金属ライン及び/又は導電ビアを含む相互接続構造を作成するためのバックエンドオブライン(BEOL)金属化ステップに適用することができる。一実施形態は、ルテニウムと、例えばCu等の第2金属堆積とを使用して金属相互接続構造を製造する方法を含む。金属ラインは、例えば、Ru及び第2金属、例えばCu、を含むことができる。例えばCu等の第2金属は、Ru金属ライン内に完全にカプセル化されることができ、Ruは、例えばCu等の第2金属のためのバリア層として作用する。ここでの技術の利点の1つは、金属1/ビア1/金属2(M1/V1/M2)インターフェースの間にバリア/ライナが必要ないことである。他の実施形態において、バリア/ライナは、第2金属の選択に応じて有用であり得る。
一般に、本願明細書において記載されている実施態様は、誘電体材料内に形成される複数の相互接続開口を有する半導体デバイスを提供する。相互接続開口は、トレンチ開口、ビア開口、又はデュアルダマシン開口を含むことができる。第1金属は、相互接続開口の表面をコンフォーマルに覆うことができ、誘電体材料と直接接触することができる。第1金属より低い抵抗率を有する第2金属は、第1金属上に形成されることができ、相互接続開口の中で相互接続構造を形成するために、第1金属によってカプセル化されることができる。本開示において、相互接続構造の頂部表面は誘電体材料の頂部表面よりも低いことができる。半導体デバイスは、誘電体材料内に形成される複数の導電層を有することもできる。導電層は相互接続構造の底部にあり、複数の導電層のうちの少なくとも1つは相互接続構造と直接接触する。半導体デバイスが縮小し続けるにつれて、半導体デバイスの相互接続構造における導電性要件及び信頼性要件を満たすことがますます難しくなっている。本明細書で開示されるそのような相互接続構造は、低抵抗率及び良好な信頼性を維持しながら、例えば5nmノード以上の先進技術ノードのためにスケールダウンできることが観察されている。第1金属は、相互接続開口の表面をコンフォーマルに覆い、金属ライン(配線)等のボイドのない横方向相互接続、及び導電性ビア等のボイドのない垂直相互接続を形成し、信頼性を向上させる特性を持つことができる。第1の金属より低い抵抗率を有する第2の金属は、相互接続構造の抵抗を低減することができる。関連技術において、バリア/ライナ層は、相互接続開口内への第1金属又は第2金属の堆積前に必要となることがある。本開示において、第1金属又は第2金属は、バリア/ライナ層を導入することなく形成されることができる。第1金属は低い金属マイグレーションを有するので、第1金属は、予め堆積されたバリア/ライナを導入することなく、誘電体材料と直接接触することができる。第1金属は、第2金属に対するバリア/ライナとして作用することもできる。本願明細書において開示されるバリア/ライナ層のない製造プロセスは、製造スループットを増加させることができ、製造コストと、第1金属と第2金属との間及び/又は第1金属と導電層との間の界面抵抗(the interface resistance)との両方を低減することができる。
図1A及び1Bは、半導体デバイス100の概略図を示し、図1Aは、デバイスの内部構造が見えるように誘電体層16を取り除いたときの例示的な半導体デバイス100の斜視図を示し、図1Bは、誘電体層16を示す同じ半導体デバイス100の概略図を示す。半導体100は、誘電体層10、誘電体層14、誘電体層16、及び誘電体層22を含むことができる誘電体材料を含む。誘電体層10、14、及び22は、パッシベーション層又はエッチング/研磨停止層として作用することができる。いくつかの実施態様において、誘電体層10、14、及び22は、SiN、SiCN、SiC、AlOx、SiON等、又はこれらの組み合わせであってもよく、厚さは、例えば、20Å〜300Åであってもよい。いくつかの実施形態では、誘電体層16は、層間絶縁層(ILD)、金属間絶縁層(IMD)、低K材料層等、又はそれらの組み合わせであり得る。誘電体層16の厚さは、適用される技術によって変化し、例えば、1000Å〜約30000Åの範囲であり得る。図1A及び図1Bの実施形態では、誘電体層10、14及び22はSiCNであり、誘電体層16は、SiCOH含有材料のような超低k材料である。
半導体デバイスは、誘電体材料内に形成された複数の導電層12をさらに含むことができる。一実施形態では、導電層12は、バックエンド工程(backend of line)(BEOL)における金属化層であることができ、例えば、ルテニウム(Ru)又は銅(Cu)等であってもよい。他の実施形態では、導電層12は、ゲート電極の上に形成される導電層であることができ、又は、ドーピング基板領域(例えば、ドレイン又はソース領域)の上に形成される導電層であることができる。種々の実施形態では、導電層12は、半導体デバイス内の任意の導電性構成要素であってもよい。図1A及び図1Bに示す実施形態では、導電材料12は、Ruメタル‐1ライン(Ru Metal-1 line)である。
本開示の誘電体材料は、任意の数の層を含むことができ、上述のように、誘電体材料は、複数の相互接続開口を形成するようにパターニングすることができる。図1A及び図1Bに示すように、相互接続開口は、デュアルダマシン開口であってもよく、20又は28のようなトレンチ開口、及び/又は18のようなビア開口を含むことができる。トレンチ開口は、様々なフィーチャサイズを有することができることに留意されたい。例えばトレンチ20のフィーチャサイズがトレンチ28のフィーチャサイズより大きい等である。
半導体デバイス100は、さらに、第1金属24及び第2金属26を含むことができる。図1A及び図1Bに示すように、第1金属24は、相互接続開口の、20及び28のようなトレンチ開口及び/又は18のようなビア開口内にコンフォーマルに堆積させることができる。第1金属は低い金属マイグレーションを有することができるので、第1金属24は、予め堆積されたバリア/ライナを導入することなく、誘電体材料と直接接触することができる。さらに図1A及び図1Bを参照すると、ビア開口18及びトレンチ開口28は、第1金属24によって完全に充填されることができる。トレンチ開口28よりも大きなフィーチャサイズを有するトレンチ開口20において、第1金属24がトレンチ開口20の底部及び側壁を覆うことができ、第2金属26が第1金属24上に形成され、第1金属24によってカプセル化されることができる。第1金属24は、第2金属26に対するバリア/ライナとして作用することができる。相互接続開口に充填されている第1金属24及び第2金属26はともに、相互接続構造を形成し、そこで、トレンチ開口に充填された金属は、横方向の相互接続を提供する相互接続構造の金属ラインを形成し、ビア開口に充填された金属は、垂直相互接続を提供する相互接続の導電性ビアを形成する。図1A及び図1Bに示す実施形態では、ビア18に充填された金属はビア−1構造を形成し、トレンチ開口20及び28に充填された金属はメタル−2ラインを形成する。
図1A及び図1Bに示すように、導電層12は、相互接続構造の底部にあり、ビア開口18内の金属を介して相互接続構造と直接接触することができる。第1金属24は、ビア18又はトレンチ28等の高アスペクト比のフィーチャをコンフォーマルに覆う特性を有することができる。上述のように、アスペクト比とは、相互接続開口における、トレンチ開口の高さに対する幅の比、又はビア開口の高さに対する幅の比を意味する。種々の実施形態では、第1金属は、ルテニウム(Ru)、銅(Cu)、タングステン(W)、アルミニウム(Al)、又はコバルト(Co)であり得る。図1A及び図1Bに示す実施形態では、第1金属24はRuであり、高アスペクト比を有するトレンチ開口又はビア開口におけるコンフォーマルカバレッジを提供する。第2金属26は、相互接続構造の抵抗を低減するために第1金属24よりも低い抵抗率を有することができ、第1金属24は、第2金属26に対するバリア/ライナとして作用する。図1A及び図1Bに示す実施形態では、第2金属26はCuである。いくつかの実施形態において、第2金属26は、Cu、銅マグネシウム(CuMn)、Al、W及びCoも含むことができる。半導体デバイス100は、さらに、誘電体材料の頂部表面(例えば、誘電体層22の頂部表面)よりも低い相互接続構造の頂部表面(例えば、金属24の頂部表面)を有することができる。
半導体デバイスの寸法が、5nmノード及びさらなる先進技術ノードにおいて、より小さなサブミクロンサイズに縮小する(scales)につれて、相互接続構造の寸法を減少させながら相互接続構造の抵抗を減少させることが、増大する課題となる。また、相互接続構造の低下するフィーチャサイズは、ボイドのない相互接続構造を形成するのが困難であるため、エレクトロマイグレーション(EM)やストレスマイグレーション(SM)のような信頼性における課題をもたらす。開示された半導体デバイス100において、第1金属24は、ビア18、トレンチ20及びトレンチ28内にコンフォーマルに堆積して、ボイドのない金属化層を形成することができ、第1金属より低い抵抗率を有する第2金属は、相互接続構造の抵抗を低減するために、第1金属上に形成されることができ、第1金属によってカプセル化されることができる。さらに、本開示において、第1金属の低い金属マイグレーションのために、第1金属は、第1金属と周囲の誘電体材料との間にバリア/ライナ層を導入することなく形成されることができる。第1金属が第2金属に対するバリア/ライナとして作用することができるので、第2金属は第1金属と第の金属との間にバリア/ライナなしで導入されることができる。本願明細書において開示されるバリア/ライナ層のない製造プロセスは、製造スループットを増加させることができ、製造コストと、第1金属と第2金属との間及び/又は第1金属と導電層との間の界面抵抗との両方を低減することができる。
図2乃至図13において、半導体デバイス100を製造する例示的な技術が、製造の中間段階における半導体デバイスの例示的な概略図を参照して説明される。図2から始まって、誘電体材料を形成することができる。誘電体材料は、誘電体層10、誘電体層14、誘電体層16、及び誘電体層22を含むことができる。誘電体層10、14、及び22は、パッシベーション層又はエッチング/研磨停止層として機能することができる。いくつかの実施態様において、誘電体層10、14、及び22は、SiN、SiCN、SiC、AlOx、SiON等、又はこれらの組み合わせであってもよく、厚さは、例えば、20Å〜300Åであってもよい。簡潔性及び明確性のために、本実施形態では、誘電体層10、14、及び22は、SiCNである。誘電体層10、14、及び22は、化学気相堆積(CVD)、物理気相堆積(PVD)、原子層堆積(ALD)、電子ビーム蒸着等の種々の技術のうちの任意の方法によって蒸着することができる。誘電体層10上に、別の誘電体層16が堆積されることができる。様々な実施形態では、誘電体層16は、第1層間絶縁層(ILD)又は金属間絶縁層(IMD)であってもよい。誘電体層16は、例えば、約4.0未満又はさらに約2.8未満のk値を有する低k誘電体材料で形成することができる。誘電体層16は、リンケイ酸塩ガラス(phosphosilicate glass)(PSG)、ボロン・リンケイ酸塩(borophosphosilicate)(BPSG)、FSG(SiOF系材料)、SiOxCy、スピンオンガラス、スピンオンポリマー、シリコン炭素材料、それらの化合物等であり得る。誘電体層16は、原子層堆積(ALD)、物理気相堆積(PVD)、液体源ミスト化学堆積(LSMCD)、スピニング、化学気相堆積(CVD)、コーティング、又は基板上に薄膜層を形成するように適合された他の任意のプロセス等の、任意の適切な方法によって堆積することができる。誘電体層16の厚さは、適用される技術によって変化し、例えば、1000Å〜約30000Åの範囲であり得る。簡潔さと明確さのために、本明細書に開示されている誘電体層16は、SiCOHを含む超低k材料である。誘電体材料は、技術要件に応じて、絶縁層、接着層、バッファ層等の任意の数の層を含むことができることを理解されたい。
さらに図2を参照すると、誘電体材料は、誘電体材料内に形成された複数の導電層12をさらに含むことができる。一実施形態では、導電層12は、バックエンド工程(backend of line)(BEOL)における金属化層であることができ、例えば、ルテニウム(Ru)又は銅(Cu)等であってもよい。他の実施形態では、導電層12は、ゲート電極の上に形成される導電層であることができ、又は、ドーピング基板領域(例えば、ドレイン又はソース領域)の上に形成される導電層であることができる。種々の実施形態では、導電層12は、半導体デバイス内の任意の導電性構成要素であってもよい。図2の実施形態では、導電層12は、BEOLで適用されるRuメタル−1ラインである。Ruは、任意の適切な方法によって堆積されることができる。例えば、ビス(シクロペンタジエニル)ルテニウム(RuCp)(bis(cyclopentadienyl)ruthenium (RuCp2))と酸素を前駆体として用い、275℃と400℃との間の温度で行うことができる原子層堆積(ALD)プロセス、又は、Ru(CO)12前駆体蒸気とCOガスを含むプロセスガスを適用することができる熱化学気相堆積(TCVD)プロセス等である。図2の実施形態では、RuはALDプロセスによって堆積される。
図3に示すように、ハードマスク積層体は誘電体材料上に形成されることができる。図示の実施形態では、ハードマスク積層体は、SiOx層30、TiN層32、別のSiOx層34、及びフォトレジスト層36を含むが、他の材料が使用されてもよい。SiOx層30は、約100Å〜約200Åの範囲の厚さを有することができる。TiN層32は、200Å〜400Åの厚さを有することができる。SiOx層34は、技術要件に従って、約300Å〜約500Åの厚さを有することができる。本明細書に開示されるSiOx及びTiN層は、化学気相堆積(CVD)、物理気相堆積(PVD)、原子層堆積(ALD)、電子ビーム蒸着、又はそれらの任意の組み合わせ等の適切な堆積プロセスによって堆積されることができる。フォトレジスト層36は、フォトレジストコーティング(例えば、スピンオンコーティング)、ソフトベーキング、マスクアライニング、露光、露光後ベーキング、フォトレジスト現像、すすぎ、乾燥(例えば、スピン乾燥及び/又はハードベーキング)等をさらに含み得るリソグラフィプロセス(例えば、フォトリソグラフィ又は電子ビームリソグラフィ)等の任意の適切な技術によってパターニングされることができる。いくつかの実施形態において、フォトレジスト36は、マスク(本明細書には示されていない)から下にある基板にパターンを転写するために使用される感光性ポリマーの層であってもよい。いくつかの実施形態では、フォトレジスト層36は、下地層、ハードマスク、底部反射防止コーティング(BARC)、及び技術要件に従った他の適切な材料等の複数の層を含むことができる。
図4では、パターニングされたフォトレジスト層36をマスクとして使用することにより、ハードマスク積層体をエッチングしてハードマスクパターンを形成するために、第1エッチングプロセスを実施することができる。第1エッチングプロセスによって、ドライエッチングプラズマ又はウェットエッチングケミカルのもとに曝されたハードマスク積層体(層30、32、及び34を含む)の部分を除去することができ、フォトレジスト36によって保護されたハードマスク積層体の部分を残すことができる。第1エッチングプロセスは、誘電体層22を介して選択的にエッチングダウン(etch down)し、誘電体層16で停止することができることに留意されたい。第1エッチングが完了すると、残っているフォトレジスト36は、例えば、プラズマアッシング及び/又は湿式洗浄プロセスによって除去されることができ、フォトレジスト層36に生成されたパターンは、異なるサイズのトレンチを有するハードマスクパターンを形成するために、ハードマスク積層体(層30、32、及び34を含む)及び誘電体層22に転写されることができる。形成されたハードマスクパターンは、後続の製造工程において相互接続開口のトレンチ開口を形成するために使用されることができる。図4に示されように、異なるフィーチャサイズを有するトレンチは、臨界寸法(CD)によって識別されることができる。例えば、トレンチ44はT1のCDを有することができ、トレンチ46はT2のCDを有することができ、T1はT2より大きい。誘電体材料及び誘電体層22をエッチングするために、任意の適切な技術を使用することができることに留意されたい。例えば、いくつかの実施形態では、エッチングプロセスは、ドライエッチング(例えば、RIE又はICPエッチング)、ウェットエッチング、及び/又は他のエッチング方法を含んでもよい。
図5では、別のマスク層、例えば、スピンオンカーボン(SOC)層38が、形成されたハードマスクパターン上にコーティングされ、パターニングされることができる。SOCは、化学気相堆積(CVD)プロセスによって製造されるアモルファス炭素膜と比較可能なカーボンハードマスクを形成するために、350℃等の高温でスピンコーティング及び焼成することができる有機ポリマー溶液である。スピンオンプロセスは、従来のCVDプロセスと比較して、より低い所有コスト(cost of ownership)、より少ない欠陥、より好適なアライメント精度を提供する。さらに、SOCは、SOCのモルフォロジと粘度に依存して、過酷なトポグラフィーに対して良好なギャップ充填性能と平坦化性能を提供することができる。SOC層38は、フォトレジストコーティング(例えば、スピンオンコーティング)、ソフトベーキング、マスクアライニング、露光、露光後ベーキング、フォトレジスト現像、すすぎ、乾燥(例えば、スピン乾燥及び/又はハードベーキング)等をさらに含み得るリソグラフィプロセス(例えば、フォトリソグラフィ又は電子ビームリソグラフィ)等の任意の適切な技術によってパターニングされることができる。図5に示すように、パターニングされたSOC層は、複数のコンタクトホール40を含み、これは、以降の製造ステップにおいて相互接続構造のビア開口を形成するために使用されることができる。
図6は、パターニングされたSOC層をマスクとして使用することによって、誘電体層16内に(例えば、誘電体層10に向かって)エッチングダウンする第2エッチングプロセスを示す。第2エッチングの完了後、SOCマスク層に生成されたパターン(例えば、コンタクトホール40)は、複数のコンタクトホール42を生成するために、誘電体層16に転写されることができる。コンタクトホール42は、相互接続構造のビア開口を完全なものとするために、後続の製造工程において導電層12上に着地するようにさらに延長される。図6に示す誘電体層16をエッチングするために、任意の適切な技術を使用することができる。例えば、いくつかの実施形態では、エッチングプロセスは、ドライエッチング(例えば、RIE又はICPエッチング)、ウェットエッチング、及び/又は他のエッチング方法を含んでもよい。
図7に示すように、第2エッチングプロセス後に残存するSOC層を除去するために、後続のプラズマアッシング及び/又は湿式洗浄プロセスを実施することができる。残存するSOC層の除去は、第2エッチングプロセスの間に形成されるパターンを変化させないであろう。図7に示すように、様々な形状サイズを有する複数のトレンチ、及び複数のコンタクトホール42が、誘電体材料内に残存する。
図8では、トレンチ開口、ビア開口又はデュアルダマシン開口を有する相互接続開口の構築を完了するために、パターニングされたハードマスク積層体をマスクとして使用することにより第3エッチングプロセスを実行することができる。第3エッチングプロセス中に、露光誘電体層16(the exposure dielectric layer 16)をエッチングプロセスに従って部分的に除去することができ、誘電体層16の、ハードマスク積層体(層30、32、及び34を含む)によって覆われる部分を残すことができる。第3エッチングプロセスが完了すると、生成される図7に示されるパターンが誘電体層16に転写されることができる。例えば、図7に形成されるトレンチパターン44及び46は誘電体層16に転写され、対応してトレンチ開口20及び28となることができる。パターン転写中に、臨界寸法(CD)を変更することができることに留意されたい。例えば、トレンチパターン44はT1のCDを有し、転写されたトレンチ開口20はT3のCDを有することができる。エッチングプロセスに応じて、T1は、T3より大きくても小さくてもよい。図7に形成されたコンタクトホール42を、相互接続構造のビア開口とするために、(例えば誘電体層10に向かって)さらに下方に延長されて、導電層12上に着地する。第3エッチングプロセスの後に形成されるビア開口は、例えば、18として識別することができる。第3のエッチングプロセス中に、ハードマスク積層体を部分的にエッチングすることもでき、誘電体層32及び34を完全に無くす(consumed)ことができることに留意されたい。第3エッチングプロセスの後、誘電体層22及び30のみが残る。図8に示す誘電体層16をエッチングするために、任意の適切な技術を使用することができる。例えば、いくつかの実施形態では、エッチングプロセスは、ドライエッチング(例えば、RIE又はICPエッチング)、ウェットエッチング、及び/又は他のエッチング方法を含んでもよい。
図9では、形成された相互接続開口を充填するために第1金属24を堆積させることができる。第1金属24は、開口、特に高アスペクト比を有する開口をコンフォーマルに覆う特性を有することができる。種々の実施形態では、第1金属は、ルテニウム(Ru)、銅(Cu)、タングステン(W)、アルミニウム(Al)、又はコバルト(Co)であり得る。図9に示す実施形態では、第1金属24は、Ruであり、高アスペクト比を有するトレンチ開口又はビア開口におけるコンフォーマルカバレッジを提供する。第1金属24は、低い金属マイグレーションのために、第1金属24と周囲の誘電体材料との間にバリア/ライナを導入することなく堆積させることができる。図9に示されるように、第1金属24の堆積後、図8に示されるビア開口18は完全に充填され、ビア開口18に充填される金属は、垂直相互接続を提供するための相互接続構造の導電性ビアとなることができる。トレンチ開口に関しては、2つのシナリオが考えられる。トレンチ開口28のような小さなフィーチャサイズを有するトレンチ開口は、第1の金属によって完全に充填することができる。トレンチ開口28内に充填された金属は、横方向の相互接続を提供するために、相互接続構造の金属ラインとなる。しかしながら、トレンチ開口20のような大きなフィーチャサイズを有するトレンチ開口は、第1の金属24によって完全に充填することはできない。図9に示すように、第1の金属24は、トレンチ開口20の底部及び側壁をコンフォーマルに覆い、トレンチ開口20の中央部にギャップ20’を残し得る。図9に示すように、第1金属24の堆積後、誘電体層30の頂部表面も第1金属24によって均一に覆われ得ることに留意されたい。第1金属24は、化学気相堆積(CVD)、物理気相堆積(PVD)、原子層堆積(ALD)、電子ビーム蒸着、又はそれらの任意の組み合わせ等の適切な堆積プロセスによって堆積されることができる。例えば、本開示においては、Ruを堆積するために、Ru3(CO)12前駆体蒸気及びCOガスを含むプロセスガスを適用する熱化学気相堆積(TCVD)プロセスを使用することができる。図9の実施形態において、第1金属24は、ALDプロセスによって堆積される。本明細書に開示されるALDプロセスは、金属マイグレーションを減少させることができ、これにより、予め堆積されたバリア/ライナを導入することなく、第1金属を相互接続開口内に堆積させることができる。また、ALDプロセスは、第1金属が相互接続開口をコンフォーマルに覆うことを可能にする。
図10において、相互接続開口を充填するために、第2金属26を第1の金属24上に直接堆積させることができる。上述したように、本開示において、第1金属24は、第2金属26に対するバリア/ライナとして作用することができる。従って、第1金属24と第2金属26との間にバリア/ライナを必要としない。いくつかの実施形態では、第2金属26は、相互接続構造の導電性を改善するために、第1金属24よりも低い抵抗率を有することができる。図10に示すように、形成後、第2金属26は、トレンチ開口20の底部及び側壁を覆うことができ、また誘電体材料の頂部表面を覆うことができる。いくつかの実施形態では、第2金属26はCu、銅マグネシウム(CuMn)、Al、W及びCoを含むことができる。簡潔さと明瞭さのために、第2金属26は、相互接続構造の抵抗を減少させるために、本開示においてCuであってもよく、電気化学めっき、化学気相堆積(CVD)、物理気相堆積(PVD)、原子層堆積(ALD)、電子ビーム蒸着、又はそれらの任意の組み合わせ等の適切な堆積プロセスによって形成されることができる。
図11では、第1リセスプロセス又は凹部形成プロセス(recessing process)が実行されることができ、そこで、第2金属26を選択的ドライエッチングプロセス又は選択的ウェットエッチングプロセスによって凹ませることができる。選択的エッチングプロセスは、エッチングプロセスが主に第2の金属26をエッチングし、第1の金属24を非常にわずかに(very lightly)しか腐食させ(attacks)ないことを意味する。例えば、Cl及びNFのエッチングガスを適用するドライエッチングプロセスは、タングステン(W)で作られた第2金属をエッチングするために使用されることができる。図11に示すように、リセスプロセスの後、第2金属の、誘電体材料の頂部表面上の部分と、トレンチ開口20のようなトレンチ開口の側壁に沿った部分と、を完全に除去することができ、トレンチ開口の底部上の部分を部分的に除去することができる。リセスプロセスの後、トレンチ開口20におけるギャップ20”のような複数のギャップが、トレンチ開口の中央部に形成され得る。
図12では、図11に示すギャップ20”のような残りのギャップを埋めるために、第1金属及び第2金属の上に第3金属を堆積させることができる。第1金属及び第2金属上の第3の金属は、第2の金属に対する金属化された低抵抗バリア材料として機能するように、相互接続構造上の金属キャップを形成するために、相互接続開口を完全に充填する。ここで、誘電体は、相互接続構造の上にその後堆積されることができ、隣接する上下の金属層間の絶縁を提供することができるものであるが、このキャップは、第2金属から誘電体までの拡散を防止する金属バリアの機能を果たし、さらに第2金属に対して良好なEM制御を提供し得る。いくつかの実施形態において、第3金属は、第1金属と異なるものであってもよく、又は第2金属と異なるものであってもよい。他の実施形態において、第3金属は、第1金属と同じであってもよい。図12の実施形態では、第3金属は、第1金属24と同じであり、図11に示されている残りのギャップ20”を完全に満たすようにコンフォーマルに堆積することができる。第3金属を形成した後、図11のトレンチ開口20を完全に充填することができ、誘電体材料の頂部表面を第3金属で均一に覆うことができる。その後、誘電体材料の頂部表面上の過剰な金属を除去するために、表面平坦化プロセスを実行することができる。図12に示すように、表面平坦化プロセスは誘電体層22で終了し、誘電体層22はパッシベーション層及びエッチング/研磨停止層として作用することができる。表面平坦化プロセス中に、誘電体層30及び誘電体材料の頂部表面上の過剰な金属の両方を完全に除去することができ、誘電体材料の頂部表面は、図12に示す金属24の頂部表面のように、トレンチ開口内の金属の頂部表面と同じ高さである(be level with)ことができる。いくつかの実施形態では、化学機械研磨(CMP)プロセスを適用して、誘電体材料の頂部表面上の過剰な金属を除去することができる。他の実施形態では、誘電体材料上の過剰な金属を除去するために、エッチングバックプロセスを適用してもよい。
図13に例示されているように、第2リセスプロセスは、技術要件に従って、表面平坦化プロセス後に第3金属の頂部を凹ませるために実施されてもよい。このリセスプロセスは、ドライエッチングプロセス又はウェットエッチングプロセスを介して行うことができる。図13に示すように、金属リセス又は金属凹部形成(the metal recessing)後には、金属24の頂部部分は除去されており、金属24の頂部表面は、対応して、誘電体材料の頂部表面(例えば、誘電体層22の頂部表面)よりも低くなり得る。いくつかの実施形態において、本明細書に開示された金属リセスは、トレンチ開口に充填された2つの金属ライン間の寄生容量を低減することができる。他の実施形態では、金属リセスは、技術的必要性に従って、他の層を構築するための空間を提供することができる。金属リセスの後には、完全な半導体デバイス100が形成されることができる。半導体100は、誘電体材料内に形成された複数の相互接続開口を含むことができる。相互接続開口は、トレンチ20及び28のようなトレンチ開口、ビア18のようなビア開口、又はデュアルダマシン開口を有することができる。第1金属24は、相互接続開口の表面をコンフォーマルに覆うことができ、誘電体材料と直接接触することができる。第1金属より低い抵抗率を有する第2金属26は、第1金属上に形成されることができ、相互接続開口の中で相互接続構造を形成するために、第1金属によってカプセル化されることができる。半導体デバイス100内で、トレンチ開口に充填された金属は、横方向の相互接続を提供するための相互接続構造の金属ラインとなり、ビア開口に充填された金属は、垂直方向の相互接続を提供するための導電性ビアとなる。複数の導電層12は、誘電体材料内に形成され得る。導電層12は、相互接続構造の底部にあり、ビア開口18内に充填された金属を介して相互接続構造と直接接触することができる。
関連技術では、相互接続開口を充填するために、金属の堆積の前にバリア/ライナ層が必要とされる。本開示においては、第1金属又は第2金属のような金属は、バリア/ライナを導入することなく堆積され得る。第1金属は低い金属マイグレーションを有し、第1金属は第2金属に対するバリア/ライナとして作用し得るからである。バリア/ライナ層のない金属堆積は、製造スループットを改善し、製造コストを低減し、また相互接続構造における界面抵抗を低減することができる。バリア/ライナはまた、技術要件に従って、第1金属の堆積の前に、第2金属又は第3の金属の堆積の前に、任意で堆積されてもよく、又は第2金属の頂部に堆積されることができることにも言及されるべきである。いくつかの実施形態において、バリア/ライナは、TiN、Ti、Ta、TaN、MnN、MnSiO、SiN等、又はそれらの組み合わせを含むことができる。
次に、図14を参照して、代替的半導体デバイス100’が説明される。図1Bに示された半導体デバイス100と比較して、相違点は、半導体デバイス100’において、第1金属24上に形成された第2金属26は、第2金属26が第1金属24によってカプセル化されているのではなく、第1金属24の頂部表面と同じ高さである頂部表面を有することができる点である。半導体デバイス100’を形成するために、第2金属26の頂部表面を露出させるために、図13に示す第2リセスプロセスを調整することができ、第2金属26の頂部表面を金属24の頂部表面と同じ高さにすることができる。
図15は、いくつかの実施態様による半導体デバイスを製造するための例示的なプロセスフローを示す図である。プロセスは、ステップ202で開始され、そこで、誘電体材料が形成され得る。誘電体材料は、誘電体層10、誘電体層14、誘電体層16、及び誘電体層22を含むことができる。誘電体層10、14及び22は、パッシベーション層又はエッチング/研磨停止層として機能することができ、SiN、SiCN、SiC、AlOx、SiON等、又はこれらの組み合わせであってもよい。誘電体層16は、第1層間絶縁層(ILD)又は金属間絶縁層(IMD)であってもよい。誘電体層16は、例えば、約4.0未満又はさらに約2.8未満のk値を有する低k誘電体材料で形成することができる。簡潔さと明確さのために、本明細書に開示されている誘電体層16は、SiCOHを含有する低k材料であることができる。誘電体材料は、さらに、誘電体材料内に形成された複数の導電層12を含むことができ、導電層12は、BEOL中においてRuで作られた金属化層であってもよい。ステップ202は、図2に図示されることができる。
次に、ステップ204で、誘電体材料上にハードマスク積層体を形成することができる。図3に示すように、ハードマスク積層体は、SiOx層30、TiN層32、別のSiOx層34、及びフォトレジスト層36を含むことができる。フォトレジスト層36は、リソグラフィプロセスに従ってパターニングされることができる。
プロセスフロー200はその後ステップ206に進み、パターニングされたフォトレジスト層36をマスクとして使用することにより、ハードマスク積層体をエッチングしてハードマスクパターンを形成するために、第1エッチングプロセスが実行される。図4に示されるように、ドライエッチング等の第1エッチングプロセスによって、ドライエッチングプラズマ又はウェットエッチングケミカルのもとに曝されたハードマスク積層体(層30、32、及び34を含む)の部分を除去することができ、フォトレジスト36によって保護されたハードマスク積層体の部分を残すことができる。第1エッチングは、さらに、誘電体層22を通って選択的にエッチングダウンし、誘電体層16で停止することができる。第1のエッチングプロセスの完了後に、様々なサイズのトレンチを有するハードマスクパターンが形成されていることができる。形成されたハードマスクパターンは、後続の製造ステップにおいて相互接続開口のトレンチ開口を形成するために使用されることができる。
その後、プロセスフロー200は、ステップ208に進み、そこで、ハードマスク積層体上に、スピンオンカーボン(SOC)ハードマスク層をコーティングし、パターニングすることができる。SOC層38は、リソグラフィプロセス等の任意の適切な技術に従ってパターニングされることができる。図5に示すように、パターニングされたSOC層は、複数のコンタクトホール40を含み、これは、以降の製造ステップにおいて相互接続開口のビア開口を形成するために使用されることができる。
ステップ210では、パターニングされたSOC層をマスクとして使用することによって、誘電体層16内に(例えば、誘電体層10に向かって)エッチングダウンする第2エッチングプロセスを実行することができる。第2エッチングの完了後、SOCマスク層に生成されたパターン(例えば、コンタクトホール40)は、複数のコンタクトホール42を生成するために、誘電体層16に転写されることができる。コンタクトホール42は、相互接続構造のビア開口を完成させるために、後続の製造ステップ工程において導電層12上に着地するようにさらに延長される。210のステップは、図6に図示されることができる。
その後、プロセスフロー200はステップ212に進み、そこで、第2エッチングプロセス後に残存するSOC層を除去するために、後続のプラズマアッシング及び/又は湿式洗浄プロセスを実施することができる。残存するSOC層の除去は、第2エッチングプロセスの間に形成されたパターンを変化させないであろう。図7に示すように、様々な形状サイズを有する複数のトレンチ、及び複数のコンタクトホール42が、誘電体材料内に残る。
ステップ214では、トレンチ開口、ビア開口又はデュアルダマシン開口を有する相互接続開口の形成を完了するために、パターニングされたハードマスク積層体をマスクとして使用することにより第3エッチングプロセスを実行することができる。ステップ214は、図8に図示されることができる。第3エッチングプロセス中に、露光誘電体層16をエッチングプロセスに従って部分的に除去することができ、誘電体層16の、ハードマスク積層体(層30、32、及び34を含む)によって覆われた部分を残すことができる。第3エッチングプロセスが完了すると、生成される図7に示されるパターンが誘電体層16に転写されることができる。例えば、(図7に示される)ステップ212において形成されたトレンチパターン44及び46は誘電体層16に転写され、対応してトレンチ開口20及び28となることができる。ステップ212において形成されたコンタクトホール42は、相互接続構造のビア開口になるために、(例えば誘電体層10に向かって)さらに下方に延長されて導電層12上に着地する。
プロセスフロー200は、ステップ216に進み、そこで、形成された相互接続開口を充填するために第1金属24が堆積され得る。第1金属24は、開口、特に高アスペクト比を有する開口をコンフォーマルに覆う特性を有することができる。その後、第2金属26を第1金属24上に堆積させることができる。第1の金属は低い金属マイグレーションを有し、第1金属は第2金属に対するバリア/ライナとして作用し得るので、第1金属及び第2金属の両方をバリア/ライナを導入することなく堆積させることができる。いくつかの実施形態では、第2金属26は、相互接続構造の導電性を改善するために、第1金属24よりも低い抵抗率を有することができる。ステップ216は、図9及び10に図示されることができる。
ステップ218では、第1リセスプロセスが実行されることができ、そこで、第2金属26を、選択的ドライエッチングプロセス又は選択的ウェットエッチングプロセスによって凹ませることができる。図11に示すように、リセスプロセスの後、第2金属の、誘電体材料の頂部表面上の部分と、トレンチ開口20のようなトレンチ開口の側壁に沿った部分と、を完全に除去することができ、トレンチ開口の底部上の部分を部分的に除去することができる。
その後、プロセスフロー200はステップ220に進み、第3の金属が第1の金属及び第2の金属上に堆積され、トレンチ開口内の残りのギャップを満たすことができる。本開示において、第3の金属は、第1の金属と同じであることができ、トレンチ開口を完全に充填するようにコンフォーマルに堆積されることができる。第3金属を形成した後、いかなるギャップも有さないでトレンチ開口を完全に充填することができ、誘電体材料の頂部表面を第3金属で均一に覆うことができる。その後、誘電体材料の頂部表面上の過剰な金属を除去するために、表面平坦化プロセスを実行することができる。ステップ220は、図12に図示されることができる。
次いで、プロセスフロー200は、最後のステップ222に進み、技術要件に従って、表面平坦化プロセスの後に、第3金属の頂部を凹ませるために第2リセスプロセスが実行され得る。このリセスプロセスは、ドライエッチングプロセス又はウェットエッチングプロセスを介して行うことができる。図13に示すように、金属リセスの後、トレンチ開口に充填された金属の頂部部分は除去されていることができ、トレンチ開口に充填された金属の頂部表面は、対応して誘電体材料の頂部表面よりも低くなっていることができる。金属リセスの後には、完全な半導体デバイス100が形成されることができる。
代替的半導体デバイス100’を製造するために、同じプロセスフロー200を適用することができることは言及されるべきである。半導体デバイス100’を形成するために、最後のステップ222における第2の処理プロセスは、第2金属26の頂部表面を露出させるように調整することができ、第2金属26の頂部表面を金属24の頂部表面と同じ高さにすることができる。
例示的な方法200前、中、及び、後に、追加のステップを提供することができ、記載された、いくつかのステップは、方法200の追加の実施形態のために、置き換えられ、除去され、又は移動され得ることに留意されたい。後続のプロセスステップでは、種々の追加の相互接続構造(例えば、導電線及び/又はビアを有する金属化層)が、誘電体層22上に形成されてもよい。かかる相互接続構造は、機能回路を形成するために、半導体デバイス100を他の接触構造及び/又は能動デバイスと電気的に接続する。パッシベーション層、入力/出力構造等の追加のデバイスフィーチャもまた形成され得る。
図16は、本開示の実施形態に従った、原子層堆積(ALD)プロセス又はコンフォーマル化学気相堆積(CVD)プロセスによるルテニウム(Ru)堆積の断面走査型電子顕微鏡(SEM)グラフを示す。図16において、上部部分は、15ミリトールの圧力で動作するコンフォーマルCVDプロセスによるRuの形成を示し、下部は、10ミリトールの圧力でのコンフォーマルCVDプロセスによるRuの形成を示す。両方の条件において、Ruは、例えば左端に位置するトレンチ開口のような、大きいフィーチャサイズを有するトレンチ開口の表面をコンフォーマルに覆うことができ、例えば右端に位置するトレンチ開口のような、小さいフィーチャサイズを有するトレンチ開口を完全に充填することができる。同様のプロセスは、図9に示す本開示において適用することができる。
図17は、コンフォーマルCVD堆積プロセスによるRu類似物堆積(similar Ru deposition)の断面走査型透過電子顕微鏡(STEM)グラフを示す。図17では、いわゆる「ボトムアップ」堆積プロセスが図示されている。左側のグラフに示すように、まず、CVDプロセスを介してビア開口内に30ÅのRuが堆積されており、STEM画像は、堆積されたRuが、ビア開口の側壁及び底部をコンフォーマルに覆うことを示す。中央のグラフに示されているように、堆積は継続し、100ÅのRuがビア開口内に堆積される。STEM画像は、ビア開口の大部分がRuで充填されていることを示している。右のグラフに示されているように、堆積は、ビア開口内への150ÅのRuのコーティングで終了し、ビア開口は、ボイド又は欠陥なしで完全に充填される。さらに、形成されたRuは、ビア開口が形成される誘電体材料の頂部表面を覆う。エネルギ分散X線分光法(EDX)によるその後の元素分析(図示せず)は、Ruがボイド又は欠陥なしにビア開口を完全に充填することを検証する。ALDプロセスを通して堆積されたTaNバリア層が、図17に示される実験において適用されることに言及されるべきである。上述のように、バリア/ライナは、技術の必要性に応じて省略されるか、適用され得る。
図16及び17は、本開示において利用することができるコンフォーマルCVD技術によるコンフォーマルRu堆積プロセスを示す。本明細書に開示されるRu堆積プロセスは、高いアスペクト比を有する開口フィーチャにおけるコンフォーマルカバレッジを提供することができる。本明細書に開示されているRu堆積はまた、金属マイグレーションを減少させることができ、製造中にバリア/ライナを省略することを可能にする。
本明細書に提供される説明に関して、本開示は、半導体デバイスが5nmノード及びさらなる先進技術ノードに収縮する際にいくつかの利点を提供する半導体デバイスを形成するための方法及び構造を提供する。本開示の半導体デバイスは、高度な技術ノードにおける導電性及び信頼性の両方の要件を満たすことができる。本開示の実施形態は、誘電体材料内に形成された複数の相互接続開口を有する半導体デバイスを有利に提供する。本明細書に開示されている相互接続構造において、第1金属層は、相互接続開口の表面をコンフォーマルに覆うことができ、低金属マイグレーションのために誘電体材料と直接接触することができる。第1金属は、第2金属に対するバリア/ライナとして作用することができる。第1金属より低い抵抗率を有する第2金属は、第1金属上に形成されることができ、相互接続開口の中で相互接続構造を形成するために、第1金属によってカプセル化されることができる。トレンチ開口に充填された金属は、相互接続構造の金属ラインであってよく、ビア開口に充填された金属は、相互接続構造の導電性ビアであってよい。第1金属は、高アスペクト比を有する相互接続開口をコンフォーマルに覆い、金属ライン(配線)のようなボイドの無い横方向相互接続、及び、導電性ビアのような垂直方向相互接続を形成し、信頼性を向上させる特性を有する。第1の金属より低い抵抗率を有する第2の金属は、相互接続構造の抵抗を低減することができる。関連技術において、バリア/ライナ層は、相互接続構造内への第1金属又は第2金属の堆積前に必要となることがある。本開示において、第1金属又は第2金属は、バリア/ライナ層を導入することなく形成されることができる。本願明細書において開示されるバリア/ライナ層のない製造プロセスは、製造スループットを向上させることができ、製造コストと、第1金属と第2金属との間及び/又は第1金属と導電層との間の界面抵抗との両方を低減することができる。
以上は、当業者が本開示の態様をよりよく理解できるように、いくつかの実施形態の特徴を概説したものである。当業者は、本開示を、同じ目的を実行するために、及び/又は本明細書に導入した実施形態の同じ利点を達成するために、他のプロセス及び構造を設計又は修正するための基礎として、容易に使用できることを理解すべきである。また、当業者は、このような等価な構成は、本開示の思想及び範囲から逸脱しないこと、ならびにそれらが、本開示の思想及び範囲から逸脱することなく、本明細書において種々の変更、置換、及び変更をなし得ることを認識すべきである。

Claims (20)

  1. 半導体デバイスであって、
    誘電体材料を有する基板と、
    前記誘電体材料内に形成された相互接続開口と、
    前記誘電体材料内に形成された前記相互接続開口の表面をコンフォーマルに覆う第1金属と、
    前記相互接続開口内に相互接続構造を形成するために、前記第1金属上に形成されており、前記第1金属によってカプセル化されている第2金属と、
    を備える、半導体デバイス。
  2. 前記相互接続開口は、トレンチ開口、ビア開口、又はデュアルダマシン開口を含む、
    請求項1記載の半導体デバイス。
  3. 前記第1金属は、Ru、Cu、W、Al、又はCoを含み、前記誘電体材料に直接接触しており、前記第2金属へのバリア/ライナとして作用する、
    請求項1記載の半導体デバイス。
  4. 前記第2金属は、Cu、Mn、Al、W及びCoを含み、前記第1金属よりも低い抵抗率を有する、
    請求項1記載の半導体デバイス。
  5. 前記誘電体材料内に形成された複数の導電層をさらに備え、
    前記導電層は前記相互接続構造の底部にあり、前記複数の導電層のうちの少なくとも1つは前記相互接続構造と直接接触している、
    請求項1記載の半導体デバイス。
  6. 前記導電層はRu、Cu、W、Al又はCoを含む、
    請求項5記載の半導体デバイス。
  7. 前記誘電体材料は、層間絶縁層(ILD)、金属間絶縁層(IMD)及び低K材料層のうちの少なくとも1つを含む、
    請求項1記載の半導体デバイス。
  8. 前記相互接続構造の頂部表面は前記誘電体材料の頂部表面よりも低い、
    請求項1記載の半導体デバイス。
  9. 前記第1金属は、前記誘電体材料に直接接触し、前記第2金属の頂部表面は、前記第1金属の頂部表面と同じ高さである、
    請求項1記載の半導体デバイス。
  10. 半導体デバイスを製造する方法であって、
    基板上に誘電体材料を設けるステップと、
    前記誘電体材料内に相互接続開口を形成するステップと、
    前記相互接続開口の表面をコンフォーマルに覆うために第1金属を堆積するステップと、
    前記相互接続開口を充填するために前記第1金属上に第2金属を堆積させるステップと、
    前記相互接続開口内の前記第2金属を凹部形成するステップと、
    前記相互接続開口を完全に充填するために、前記第1金属及び前記第2金属上に第3金属を堆積するステップと、
    前記半導体デバイスを平坦化するステップであって、前記誘電体材料の頂部表面は前記第3金属の頂部表面と同じ高さとする、ステップと、
    を有する方法。
  11. 前記第3金属を凹部形成するステップであって、前記相互接続開口内の前記第3金属の頂部表面は、前記誘電体材料の頂部表面よりも低い、ステップをさらに含む、
    請求項10記載の方法。
  12. 前記誘電体材料を形成するステップは、前記誘電体材料内に複数の導電層を形成するステップを含む、
    請求項10記載の方法。
  13. 前記誘電体材料内に前記導電層を形成するステップは、前記相互接続開口の底部に前記導電層を形成するステップを含み、
    前記複数の導電層のうちの少なくとも1つは、前記相互接続開口内に充填された金属と直接接触する、
    請求項12記載の方法。
  14. 前記相互接続開口を形成するステップは、トレンチ開口、ビア開口又はデュアルダマシン開口を形成するステップを含む、
    請求項10記載の方法。
  15. 前記誘電体材料内に相互接続開口を形成するステップは、
    前記誘電体材料上にハードマスク積層体を形成するステップと、
    前記ハードマスク積層体をエッチングしてハードマスクパターンを形成する第1エッチングプロセスを実行するステップと、
    パターニングされた前記ハードマスク積層体上にスピンオンカーボン(SOC)層をコーティングし、前記SOC層をパターニングするステップと、
    パターニングされた前記SOC層をマスクとして使用することにより前記誘電体材料内へエッチングダウンする第2エッチングプロセスを実行するステップと、
    前記SOC層を除去するステップと、
    トレンチ開口、ビア開口又はデュアルダマシン開口を有する相互接続開口の形成を完了するために、パターニングされた前記ハードマスク積層体をマスクとして使用することにより、前記誘電体材料内へエッチングダウンする第3エッチングプロセスを実行するステップと、
    を含む、請求項10記載の方法。
  16. 前記第1金属の上に前記第2金属を堆積するステップは、前記誘電体材料の頂部表面上に、前記相互接続開口内のトレンチの底部上に、及び、前記相互接続開口内の前記トレンチの側壁に沿って、前記第2金属を堆積するステップを含む、
    請求項10記載の方法。
  17. 前記第2金属を凹部形成するステップは、
    前記誘電体材料の頂部表面上の部分及び前記相互接続開口内のトレンチの側壁に沿った部分を完全に除去するステップと、
    前記相互接続開口内のトレンチの底部上の部分を部分的に除去するステップと、を含む、
    請求項10記載の方法。
  18. 前記第2金属を堆積するステップは、前記第1金属と同じ金属を堆積されるステップを含む、
    請求項10記載の方法。
  19. 前記第1金属及び前記第3金属で前記第2金属をカプセル化するステップをさらに含み、
    前記第2金属は、前記相互接続開口内で、前記第1金属上に形成されており、前記第3金属によって覆われている、
    請求項10記載の方法。
  20. 前記第1金属を堆積するステップは、
    原子層堆積(ALD)プロセス又はコンフォーマルCVDプロセスを介して前記第1金属を堆積するステップを含み、
    前記第1金属は、前記相互接続開口の表面をコンフォーマルに覆い、前記誘電体材料と直接接触し、前記第2金属に対するバリア/ライナとして作用する、
    請求項10記載の方法。
JP2019539194A 2017-01-20 2018-01-19 相互接続構造及びその形成方法 Active JP7027432B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762448788P 2017-01-20 2017-01-20
US62/448,788 2017-01-20
PCT/US2018/014373 WO2018136712A1 (en) 2017-01-20 2018-01-19 Interconnect structure and method of forming the same

Publications (3)

Publication Number Publication Date
JP2020505770A true JP2020505770A (ja) 2020-02-20
JP2020505770A5 JP2020505770A5 (ja) 2020-12-24
JP7027432B2 JP7027432B2 (ja) 2022-03-01

Family

ID=62907123

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019539194A Active JP7027432B2 (ja) 2017-01-20 2018-01-19 相互接続構造及びその形成方法

Country Status (5)

Country Link
US (2) US10541174B2 (ja)
JP (1) JP7027432B2 (ja)
KR (1) KR102489216B1 (ja)
TW (1) TWI753993B (ja)
WO (1) WO2018136712A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10833078B2 (en) 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
TWI681537B (zh) * 2019-05-30 2020-01-01 旺宏電子股份有限公司 半導體結構與連線結構的製作方法
CN113223998B (zh) * 2020-02-04 2022-10-04 联芯集成电路制造(厦门)有限公司 具有金属间介电图案的半导体元件的制作方法
US20220093505A1 (en) * 2020-09-24 2022-03-24 Intel Corporation Via connections for staggered interconnect lines
US11776895B2 (en) * 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11908738B2 (en) 2021-10-18 2024-02-20 International Business Machines Corporation Interconnect including integrally formed capacitor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005191254A (ja) * 2003-12-25 2005-07-14 Fujitsu Ltd 半導体装置の製造方法
US20060094236A1 (en) * 2004-11-03 2006-05-04 Elkins Patricia C Electroless plating of metal caps for chalcogenide-based memory devices
US20060097397A1 (en) * 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US20070059925A1 (en) * 2005-09-13 2007-03-15 Kyung-In Choi Method of forming metal wiring layer of semiconductor device
US20130270703A1 (en) * 2011-12-21 2013-10-17 Daniel J. Zierath Electroless filled conductive structures

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7285867B2 (en) * 2002-11-08 2007-10-23 Casio Computer Co., Ltd. Wiring structure on semiconductor substrate and method of fabricating the same
US6992344B2 (en) * 2002-12-13 2006-01-31 International Business Machines Corporation Damascene integration scheme for developing metal-insulator-metal capacitors
US6899796B2 (en) * 2003-01-10 2005-05-31 Applied Materials, Inc. Partially filling copper seed layer
US7265038B2 (en) * 2003-11-25 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-layer seed layer for improved Cu ECP
US7176141B2 (en) * 2004-09-07 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics
KR100870271B1 (ko) 2007-06-28 2008-11-25 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그의 형성 방법
US8164190B2 (en) * 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
KR101802435B1 (ko) * 2011-03-28 2017-11-29 삼성전자주식회사 반도체 장치의 금속 배선 형성 방법
US8796853B2 (en) * 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US9349636B2 (en) 2013-09-26 2016-05-24 Intel Corporation Interconnect wires including relatively low resistivity cores
KR102092863B1 (ko) * 2013-12-30 2020-03-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9728445B2 (en) * 2014-01-22 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conducting via and damascene structure
CN107836034B (zh) 2015-06-05 2022-07-19 东京毅力科创株式会社 用于互连的钌金属特征部填充

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005191254A (ja) * 2003-12-25 2005-07-14 Fujitsu Ltd 半導体装置の製造方法
US20060094236A1 (en) * 2004-11-03 2006-05-04 Elkins Patricia C Electroless plating of metal caps for chalcogenide-based memory devices
US20060097397A1 (en) * 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US20070059925A1 (en) * 2005-09-13 2007-03-15 Kyung-In Choi Method of forming metal wiring layer of semiconductor device
US20130270703A1 (en) * 2011-12-21 2013-10-17 Daniel J. Zierath Electroless filled conductive structures

Also Published As

Publication number Publication date
KR20190100975A (ko) 2019-08-29
KR102489216B1 (ko) 2023-01-16
TWI753993B (zh) 2022-02-01
WO2018136712A1 (en) 2018-07-26
TW201841324A (zh) 2018-11-16
US10541174B2 (en) 2020-01-21
US20200006129A1 (en) 2020-01-02
US20180211870A1 (en) 2018-07-26
US10923392B2 (en) 2021-02-16
JP7027432B2 (ja) 2022-03-01

Similar Documents

Publication Publication Date Title
US10867921B2 (en) Semiconductor structure with tapered conductor
US10923392B2 (en) Interconnect structure and method of forming the same
TWI579998B (zh) 半導體裝置與其形成方法
TWI718268B (zh) 半導體結構的製造方法
US11594419B2 (en) Reduction of line wiggling
TWI797304B (zh) 使用完全自對準方案的消去式互連線形成
CN109148358B (zh) 半导体装置结构的制造方法
JP7492618B2 (ja) 二重金属電力レールを有する集積回路の製造方法
US11309217B2 (en) Contact plug and method of formation
TW202038383A (zh) 半導體裝置及其形成方法
US11101175B2 (en) Tall trenches for via chamferless and self forming barrier
US9431292B1 (en) Alternate dual damascene method for forming interconnects
US7892967B2 (en) Semiconductor device and method for manufacturing the same
KR100691105B1 (ko) 듀얼 다마신 공정을 이용한 구리 배선 형성 방법
TWI803495B (zh) 半導體裝置結構的形成方法
TW202245193A (zh) 半導體結構及其製造方法
US9564355B2 (en) Interconnect structure for semiconductor devices
WO2023093676A1 (en) Beol top via wirings with dual damascene via and super via redundancy
TWI803209B (zh) 製造半導體元件之方法
US20230099965A1 (en) Airgap isolation for back-end-of-the-line semiconductor interconnect structure with top via
KR20070077670A (ko) 반도체 메모리 소자 제조 방법 및 이에 따라 제조된 반도체소자

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201112

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220118

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220216

R150 Certificate of patent or registration of utility model

Ref document number: 7027432

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150