CN107836034B - 用于互连的钌金属特征部填充 - Google Patents

用于互连的钌金属特征部填充 Download PDF

Info

Publication number
CN107836034B
CN107836034B CN201680040035.5A CN201680040035A CN107836034B CN 107836034 B CN107836034 B CN 107836034B CN 201680040035 A CN201680040035 A CN 201680040035A CN 107836034 B CN107836034 B CN 107836034B
Authority
CN
China
Prior art keywords
feature
metal layer
substrate
layer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680040035.5A
Other languages
English (en)
Other versions
CN107836034A (zh
Inventor
尤凯鸿
赫里特·J·勒斯因克
考利·瓦吉达
石坂忠大
袴田隆宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN107836034A publication Critical patent/CN107836034A/zh
Application granted granted Critical
Publication of CN107836034B publication Critical patent/CN107836034B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供了一种用于至少部分地填充基底中的特征部的方法。该方法包括提供包括特征部的基底,沉积钌(Ru)金属层以至少部分地填充所述特征部,和热处理所述基底以使所述特征部中的Ru金属层回流。

Description

用于互连的钌金属特征部填充
相关申请的交叉引用
本申请涉及并要求于2015年6月5日提交的美国临时申请第62/171,739号的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及使用用于微电子器件的低电阻率钌(Ru)金属来无空隙填充特征部(例如,通孔和沟槽)的方法。
背景技术
集成电路包括多种不同的半导体器件和复数个导电金属路径,所述导电金属路径向半导体器件提供电力并允许这些半导体器件共享和交换信息。在集成电路中,金属层使用使金属层彼此绝缘的金属间和层间介电层堆叠在彼此之上。
通常,每个金属层必须形成通往至少一个另外的金属层的电接触。这种电接触通过蚀刻分离金属层的层间电介质中的特征部(即,通孔)并用金属填充所得通孔以产生互连来实现。金属层通常占据层间电介质中的蚀刻路径。“通孔”通常是指形成在介电层中的任何特征部如孔、线或其他类似特征部,其提供通过介电层到介电层下面的导电层的电连接。类似地,连接两个或更多个通孔的金属层通常被称为沟槽。
在用于制造集成电路的多层金属化方案中使用铜(Cu)金属由于电介质如SiO2中Cu原子的高迁移率而产生问题,并且Cu原子可在Si中产生电缺陷。因此,Cu金属层、Cu填充的沟槽和Cu填充的通孔通常用阻挡材料封装以防止Cu原子扩散到电介质和Si中。阻挡层通常在Cu籽晶沉积之前沉积在沟槽和通孔侧壁和底部,并且可以包括优选为非反应性且不混溶于Cu的材料,提供对电介质良好的粘附并且可以提供低电阻率。
器件性能的提高通常伴随着器件面积的减小或器件密度的增加。器件密度的增加需要减小用于形成互连的通孔尺寸,包括较大的纵横比(即深度与宽度比)。随着通孔尺寸减小和纵横比增加,在通孔的侧壁上形成具有足够厚度的扩散阻挡层同时还为通孔中的金属层提供足够的体积变得越来越具有挑战性。另外,随着通孔和沟槽尺寸减小以及通孔和沟槽中的层的厚度减小,层和层界面的材料特性变得越来越重要。特别地,形成那些层的过程需要小心地集成到可制造的工艺顺序中,其中对工艺顺序的所有步骤保持良好的控制。
与在基底中越来越小的特征部中使用Cu金属相关的问题将需要用其他低电阻率金属代替Cu金属。
发明内容
提供了用于微电子器件中的互连的无空隙Ru金属特征部填充的方法。
根据本发明的一个实施方案,提供了一种通过以下步骤至少部分地填充基底中的特征部的方法:提供包括所述特征部的基底;沉积钌(Ru)金属层以至少部分地填充所述特征部;和热处理所述基底以使所述特征部中的所述Ru金属层回流(reflow)。
根据本发明的一个实施方案,提供了一种通过以下步骤填充基底中的特征部的方法:提供包括特征部的基底;沉积填充所述特征部的共形钌(Ru)金属层;和热处理所述基底以使所述特征部中的所述共形Ru金属层回流,其中所述共形Ru金属层在所述特征部中具有接缝空隙(seam void),热处理将接缝空隙密封并增加所述特征部中的所述共形Ru金属层的晶粒尺寸。
根据本发明的另一个实施方案,提供了一种通过以下步骤至少部分地填充基底中的特征部的方法,所述方法包括:提供基底,所述基底包括形成在所述基底上的介电层中的特征部;在所述特征部中形成成核层;在所述成核层上沉积共形钌(Ru)金属层以至少部分地填充所述特征部;和热处理所述基底以使所述特征部中的所述共形Ru金属层回流,其中所述热处理减少特征部填充中的空隙并增加所述特征部中的所述共形Ru金属层的晶粒尺寸。
附图说明
当结合附图考虑时,通过参照以下详细描述,对本发明的更完整的理解以及其许多伴随的优点将容易地获得,因为其变得更好理解,在附图中:
图1示出了根据本发明的一个实施方案的用于Ru金属填充的基底中的窄特征部的尺寸;
图2A和2B示出了根据本发明的一个实施方案的用于Ru金属膜填充的基底中的特征部的截面和俯视图扫描电子显微镜(SEM)图像;
图3A示出了根据本发明的一个实施方案的基底中的窄特征部中的Ru金属沉积的截面SEM图像;
图3B示出了根据本发明的一个实施方案的基底中的窄特征部中的Ru金属沉积的截面SEM图像;
图4A和4B示出了根据本发明的一个实施方案的基底中的特征部中的沉积态(as-deposited)的Ru金属层的截面SEM图像;和
图5A和图5B示出了根据本发明的一个实施方案的基底中的特征部中的经热处理的Ru金属层的截面SEM图像。
具体实施方式
在一些实施方案中描述了使用用于微电子器件的低电阻率Ru金属无空隙填充特征部的方法。
根据一个实施方案,提供了一种用于至少部分地填充基底中的特征部的方法。所述方法包括:提供包括所述特征部的基底;沉积Ru金属层以至少部分地填充所述特征部;和热处理所述基底以使所述特征部中的所述Ru金属层回流。至少部分填充利用毛细管作用以将热软化的Ru金属向下拉至非常窄的特征部中并使Ru金属重结晶以形成较大的Ru金属晶粒。发明人已经发现,可以使用这种低温Ru金属重结晶和回流的独特和出乎意料的结果来用Ru金属填充代替Cu金属填充。回流的Ru金属的大晶粒尺寸具有低电阻,这是代替窄特征部中的Cu金属填充所需的。已经表明,具有短有效电子平均自由程的Ru金属是满足国际半导体技术发展蓝图(International Technology Roadmap for Semiconductors,ITRS)电阻要求的最佳候选,作为在约10nm(5nm节点)最小特征部尺寸下的Cu金属代替物。由于Ru金属的许多材料和电特性,因此与Cu金属相比,受特征部尺寸比例减小的影响较小。
所述特征部可以例如包括沟槽或通孔。特征部直径可以小于30nm、小于20nm、小于10nm或小于5nm。特征部直径可以为20nm至30nm、10nm至20nm、5nm至10nm、或3nm至5nm。特征部的深度可以例如大于20nm、大于50nm、大于100nm、或大于200nm。例如,特征部的纵横比(AR,深度:宽度)可以为2:1至20:1、2:1至10:1、或2:1至5:1。在一个实例中,基底(例如,Si)包括介电层,特征部形成在介电层中。
图1示出了根据本发明的一个实施方案的用于Ru金属填充的基底中的窄特征部的尺寸。通过在Si基底中蚀刻特征部,然后在经蚀刻的特征部中沉积(回填)氧化物层(SiO2)以减小经蚀刻的特征部的直径来制备窄特征部。经蚀刻的特征部的直径为50nm、56nm、64nm和80nm。经回填的特征部的直径(宽度)为约11.5nm、约14nm、约17.4nm和约28.5nm,接近特征部的中间深度。
图2A和图2B示出了根据本发明的一个实施方案的用于Ru金属膜填充的基底中的特征部的截面和俯视图扫描电子显微镜(SEM)图像。图1中描述了基底中的特征部的制备。图2A中的特征部的直径为约14nm,深度为约120nm,纵横比为约8.5,间距为约112nm。图2B中的特征部的直径为约11.5nm,深度为约110nm,纵横比为约9.5,间距为100nm。
图3A示出了根据本发明的一个实施方案的基底中的窄特征部中的Ru金属沉积的截面SEM图像。图1中描述了基底中的特征部的制备。特征部的直径为约11.5nm、约17.4nm和约28.5nm。在Ru金属沉积之前,在约350℃的基底温度下使用原子层沉积(ALD)和交替暴露叔丁基亚氨基-三乙基甲基氨基-钽(TBTEMT,Ta(NCMe3)(NEtMe)3)和氨(NH3)在特征部中沉积
Figure BDA0001540387420000041
厚的TaN成核层。在约200℃的基底温度下使用Ru3(CO)12和CO载气通过化学气相沉积(CVD)在TaN成核层上沉积厚度为
Figure BDA0001540387420000042
的共形Ru金属层。图3A示出了直径为11.5nm和14.5nm的特征部被Ru金属有效填充,而直径为28.5nm的窄特征部未被完全填充并且在窄特征部的上部具有空隙。
图3B示出了根据本发明的一个实施方案的基底中的窄特征部中的Ru金属沉积的截面SEM图像。
Figure BDA0001540387420000043
的共形Ru金属沉积示出了全部特征部都被Ru金属有效填充。
图4A和图4B示出了根据本发明的一个实施方案的基底中的特征部中的沉积态的Ru金属层的截面SEM图像。在约200℃的基底温度下使用Ru3(CO)12和CO载气通过CVD沉积Ru金属层,特征部还包括如参考图3A所述的TaN成核层。图4A和图4B中的SEM放大倍率分别是200,000和350,000。中等深度的约28nm宽特征部没有被完全填充,而是在特征部顶部附近的Ru金属中具有约9nm宽的空隙。
图5A和图5B示出了根据本发明的一个实施方案的基底中的特征部中的经热处理的Ru金属层的截面SEM图像。图4A和4B中的SEM放大倍率分别为200,000和350,000。在450℃的基底温度下在形成气体的存在下热处理沉积态的Ru金属层5分钟。图5A和图5B示出了特征部中的热处理回流的Ru金属以有效地用具有大晶粒尺寸的Ru金属填充窄特征部,并且减少或消除了Ru金属特征部填充中的空隙。填充利用毛细管作用将热软化的Ru金属向下拉至非常窄的特征部中。此外,特征部中的任何Ru金属接缝空隙通过热处理而被密封。
例如,可以通过进行从特征部上方除去过量的Ru金属的平坦化工艺(例如,化学机械抛光(CMP))来进一步处理图5A和图5B中的结构。
根据一些实施方案,可以在Ru金属填充之前通过ALD或CVD将成核层沉积在特征部中。成核可以例如包括氮化物材料。根据一个实施方案,成核层可以选自Mo、MoN、Ta、TaN、W、WN、Ti和TiN。成核层的作用是为特征部中的Ru金属提供良好的成核表面和粘附表面,以确保短培养(incubation)时间的Ru金属层的共形沉积。与使用Cu金属填充时不同,在特征部中的Ru金属与电介质材料之间不需要良好的阻挡层。因此,在Ru金属填充的情况下,成核层可以非常薄并且可以是不连续的或不完整的,具有暴露特征部中的电介质材料的间隙。与铜金属特征部填充相比,这允许增加特征部填充中的Ru金属的量。在一些实例中,成核层的厚度可以是
Figure BDA0001540387420000051
或更小、
Figure BDA0001540387420000052
或更小、
Figure BDA0001540387420000053
或更小、或者
Figure BDA0001540387420000054
或更小。
根据一些实施方案,可以通过ALD、CVD、镀覆(plating)或溅射来沉积Ru金属层。在一个实例中,可以通过使用Ru3(CO)12和CO载气的CVD来沉积Ru金属层。然而,可以使用其他的Ru金属前体来沉积Ru金属层。在一些实例中,Ru金属层可以包括含Ru的合金。
根据本发明的实施方案,可以在第一基底温度下沉积Ru金属层,并且随后可以在高于第一基底温度的第二基底温度下进行对沉积态的Ru金属层的后续热处理。例如,热处理可以在200℃至600℃之间、300℃至400℃之间、500℃至600℃之间、400℃至450℃之间、或450℃至500℃之间的基底温度下进行。此外,热处理可以在Ar气、H2气、或Ar气和H2气两者存在下在低于气氛压力下进行。在一个实例中,热处理可以在形成气体存在下在低于气氛压力下进行。形成气体是H2和N2的混合物。在另一个实例中,热处理可以在高真空条件下而不使气体流入用于热处理的处理室中形成。
根据一个实施方案,热处理可以在气态等离子体(gaseous plasma)存在下进行。与没有使用气态等离子体的情况相比,这允许降低热处理温度。这允许使用与低k和超低k材料相容的热处理温度。根据一些实施方案,特征部可以在2.5≤k<3.9的低k材料或k<2.5的超低k材料中形成。在一个实例中,气态等离子体可以包括Ar气。等离子体条件可以被选择为包括低能量Ar离子。
根据另一个实施方案,在沉积Ru金属层之前,可以使基底暴露于对特征部中的表面进行改性并提高特征部中Ru金属层的成核速率的处理气体。在一个实例中,处理气体可以包括氮等离子体、NH3等离子体、NH3退火或其组合。暴露于处理气体可以使特征部中的表面氮化。在一个实例中,处理气体提高了特征部中的表面的亲水性并且由此提高了特征部中的Ru金属的成核速率。
在一个实例中,特征部的开口可以夹断(pinch off)(闭合),并且在特征部完全被Ru金属层填充之前可以在特征部内部形成空隙。根据一个实施方案,可以通过从特征部上方除去过量的Ru金属例如通过平坦化工艺来除去空隙,从而除去引起夹断的过量的Ru金属。之后,可以进行热处理工艺以使特征部中的Ru金属层回流。根据一个实施方案,这随后可以在回流的Ru金属层上沉积另外的Ru金属层并重复热处理工艺以实现特征部的无空隙填充。
已经在多个实施方案中公开了使用用于微电子器件的低电阻率Ru金属无空隙填充特征部如通孔和沟槽的方法。已经出于说明和描述的目的呈现了本发明的实施方案的前述描述。这并不意味着穷举或将本发明限制于所公开的确切形式。该描述和权利要求包括仅用于描述性目的的术语,并且不应被解释为限制性的。相关领域的技术人员可以理解,鉴于上述教导,可以进行许多修改和变化。本领域的技术人员将认识到附图中所示的多种组件的多种等效组合和替代。因此,旨在本发明的范围不受该详细描述的限制,而是受所附权利要求的限制。

Claims (18)

1.一种用于至少部分地填充基底中的特征部的方法,所述方法包括:
提供包括特征部的基底;
沉积Ru金属层以至少部分地填充所述特征部;
热处理所述基底以使所述特征部中的所述Ru金属层回流;
在所述特征部中的经热处理的Ru金属层上沉积另外的Ru金属层;和
热处理所述另外的Ru金属层以使所述特征部中的所述另外的Ru金属层回流,
其中所述热处理的步骤均在200℃至600℃之间的第二基底温度下进行。
2.根据权利要求1所述的方法,还包括:
在沉积所述Ru金属层之前,在所述特征部中形成成核层。
3.根据权利要求2所述的方法,其中所述成核层是不完整的,具有在凹陷的特征部中暴露所述基底的间隙。
4.根据权利要求2所述的方法,其中所述成核层选自Mo、MoN、Ta、TaN、W、WN、Ti和TiN。
5.根据权利要求1所述的方法,还包括
在沉积所述Ru金属层之前,将所述基底暴露于提高所述特征部中的所述Ru金属层的成核速率的处理气体。
6.根据权利要求5所述的方法,其中所述处理气体包括氮。
7.根据权利要求1所述的方法,其中所述Ru金属层通过原子层沉积ALD、化学气相沉积CVD、镀覆或溅射来沉积。
8.根据权利要求7所述的方法,其中所述Ru金属层通过使用Ru3(CO)12和CO载气的化学气相沉积CVD来沉积。
9.根据权利要求1所述的方法,其中所述基底包括介电层,所述特征部形成在所述介电层中。
10.根据权利要求1所述的方法,其中所述热处理在Ar气、H2气、Ar气和H2气、或H2气和N2气的存在下进行。
11.根据权利要求1所述的方法,其中所述Ru金属层在第一基底温度下沉积,所述第二基底温度大于所述第一基底温度。
12.根据权利要求1所述的方法,其中沉积所述Ru金属层使得所述特征部在被所述Ru金属层填充之前夹断所述特征部的开口,从而在所述特征部内形成空隙,所述方法还包括,在所述热处理之前,从所述特征部上方除去导致所述夹断的过量Ru金属。
13.一种用于填充基底中的特征部的方法,所述方法包括:
提供包括特征部的基底;
沉积填充所述特征部的共形Ru金属层;
热处理所述基底以使所述特征部中的所述共形Ru金属层回流;
在所述特征部中的经热处理的Ru金属层上沉积另外的Ru金属层;和
热处理所述另外的Ru金属层以使所述特征部中的所述另外的Ru金属层回流,
其中所述共形Ru金属层具有在所述特征部中的接缝空隙,所述热处理将所述接缝空隙密封并增加所述特征部中的所述共形Ru金属层的晶粒尺寸,
其中所述热处理的步骤均在200℃至600℃之间的第二基底温度下进行。
14.根据权利要求13所述的方法,其中所述基底包括介电层,所述特征部形成在所述介电层中。
15.根据权利要求13所述的方法,其中所述共形Ru金属层在第一基底温度下沉积,所述第二基底温度大于所述第一基底温度。
16.一种用于至少部分地填充基底中的特征部的方法,所述方法包括:
提供基底,所述基底包括形成在所述基底上的介电层中的特征部;
在成核层上沉积共形Ru金属层以至少部分地填充所述特征部;
热处理所述基底以使所述特征部中的所述共形Ru金属层回流;
在所述特征部中的经热处理的Ru金属层上沉积另外的Ru金属层;和
热处理所述另外的Ru金属层以使所述特征部中的所述另外的Ru金属层回流,
其中所述热处理的步骤均减少所述特征部的填充物中的空隙并增加所述特征部中的所述共形Ru金属层的晶粒尺寸,
其中所述热处理的步骤均在200℃至600℃之间的第二基底温度下进行。
17.根据权利要求16所述的方法,其中所述共形Ru金属层在第一基底温度下沉积,所述第二基底温度大于所述第一基底温度。
18.根据权利要求16所述的方法,其中所述成核层选自Mo、MoN、Ta、TaN、W、WN、Ti和TiN。
CN201680040035.5A 2015-06-05 2016-06-03 用于互连的钌金属特征部填充 Active CN107836034B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562171739P 2015-06-05 2015-06-05
US62/171,739 2015-06-05
PCT/US2016/035724 WO2016196937A1 (en) 2015-06-05 2016-06-03 Ruthenium metal feature fill for interconnects

Publications (2)

Publication Number Publication Date
CN107836034A CN107836034A (zh) 2018-03-23
CN107836034B true CN107836034B (zh) 2022-07-19

Family

ID=57441803

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680040035.5A Active CN107836034B (zh) 2015-06-05 2016-06-03 用于互连的钌金属特征部填充

Country Status (6)

Country Link
US (2) US9711449B2 (zh)
JP (1) JP7066929B2 (zh)
KR (1) KR102542758B1 (zh)
CN (1) CN107836034B (zh)
TW (1) TWI621161B (zh)
WO (1) WO2016196937A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
US10541174B2 (en) 2017-01-20 2020-01-21 Tokyo Electron Limited Interconnect structure and method of forming the same
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7277871B2 (ja) * 2017-10-04 2023-05-19 東京エレクトロン株式会社 相互接続のためのルテニウム金属機能フィリング
US10790188B2 (en) 2017-10-14 2020-09-29 Applied Materials, Inc. Seamless ruthenium gap fill
US10672649B2 (en) 2017-11-08 2020-06-02 International Business Machines Corporation Advanced BEOL interconnect architecture
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10269698B1 (en) 2017-12-20 2019-04-23 International Business Machines Corporation Binary metallization structure for nanoscale dual damascene interconnects
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11631680B2 (en) 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210122880A (ko) * 2019-02-28 2021-10-12 도쿄엘렉트론가부시키가이샤 반도체 디바이스를 위한 이중 규화물 랩-어라운드 접촉부
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7206355B2 (ja) * 2020-11-12 2023-01-17 アプライド マテリアルズ インコーポレイテッド ダイナミックランダムアクセスメモリビット線金属を滑らかにするための方法及び装置
US20220223472A1 (en) * 2021-01-11 2022-07-14 Applied Materials, Inc. Ruthenium Reflow For Via Fill
KR102659491B1 (ko) * 2021-08-12 2024-04-23 한국과학기술연구원 배선 재료용 저저항 필름의 제조 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209157A (ja) * 1997-01-21 1998-08-07 Hitachi Ltd 半導体装置の製造方法
US6001660A (en) * 1997-04-17 1999-12-14 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors using metal reflow techniques
JP2000091269A (ja) * 1998-09-10 2000-03-31 Fujitsu Ltd 半導体装置の製造方法
JP2010067638A (ja) * 2008-09-08 2010-03-25 Tokyo Electron Ltd ルテニウム膜の成膜方法
CN101981686A (zh) * 2008-01-22 2011-02-23 东京毅力科创株式会社 用于将选择性的低温钌沉积集成到半导体器件的铜金属化中的方法
JP2014033139A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd デバイスの製造方法
JP2014204014A (ja) * 2013-04-08 2014-10-27 三菱電機株式会社 半導体装置およびその製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475903B1 (en) 1993-12-28 2002-11-05 Intel Corporation Copper reflow process
JP3393436B2 (ja) * 1996-12-03 2003-04-07 ソニー株式会社 配線の形成方法
KR100227843B1 (ko) * 1997-01-22 1999-11-01 윤종용 반도체 소자의 콘택 배선 방법 및 이를 이용한 커패시터 제조방법
KR100408410B1 (ko) * 2001-05-31 2003-12-06 삼성전자주식회사 엠아이엠(mim) 커패시터를 갖는 반도체 소자 및 그제조 방법
KR100416602B1 (ko) * 2001-08-08 2004-02-05 삼성전자주식회사 스택형 캐패시터의 제조 방법
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7273814B2 (en) 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7968455B2 (en) * 2006-10-17 2011-06-28 Enthone Inc. Copper deposition for filling features in manufacture of microelectronic devices
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
JP2010199349A (ja) 2009-02-26 2010-09-09 Toshiba Corp 半導体装置の製造方法
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US8637390B2 (en) * 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US9048296B2 (en) * 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
JP5862353B2 (ja) 2011-08-05 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
KR101444527B1 (ko) * 2011-08-05 2014-09-24 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
KR20130096949A (ko) * 2012-02-23 2013-09-02 삼성전자주식회사 반도체 소자의 형성 방법
US8517769B1 (en) 2012-03-16 2013-08-27 Globalfoundries Inc. Methods of forming copper-based conductive structures on an integrated circuit device
US10665503B2 (en) 2012-04-26 2020-05-26 Applied Materials, Inc. Semiconductor reflow processing for feature fill
TWI576961B (zh) * 2012-04-26 2017-04-01 應用材料股份有限公司 用於高深寬比塡充的半導體重流處理
US9425092B2 (en) * 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209157A (ja) * 1997-01-21 1998-08-07 Hitachi Ltd 半導体装置の製造方法
US6001660A (en) * 1997-04-17 1999-12-14 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors using metal reflow techniques
JP2000091269A (ja) * 1998-09-10 2000-03-31 Fujitsu Ltd 半導体装置の製造方法
CN101981686A (zh) * 2008-01-22 2011-02-23 东京毅力科创株式会社 用于将选择性的低温钌沉积集成到半导体器件的铜金属化中的方法
JP2010067638A (ja) * 2008-09-08 2010-03-25 Tokyo Electron Ltd ルテニウム膜の成膜方法
JP2014033139A (ja) * 2012-08-06 2014-02-20 Ulvac Japan Ltd デバイスの製造方法
JP2014204014A (ja) * 2013-04-08 2014-10-27 三菱電機株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN107836034A (zh) 2018-03-23
US20170317022A1 (en) 2017-11-02
US9711449B2 (en) 2017-07-18
JP2018516465A (ja) 2018-06-21
TW201709293A (zh) 2017-03-01
JP7066929B2 (ja) 2022-05-16
KR20180005743A (ko) 2018-01-16
WO2016196937A1 (en) 2016-12-08
US10056328B2 (en) 2018-08-21
US20160358815A1 (en) 2016-12-08
TWI621161B (zh) 2018-04-11
KR102542758B1 (ko) 2023-06-12

Similar Documents

Publication Publication Date Title
CN107836034B (zh) 用于互连的钌金属特征部填充
US20170263721A1 (en) Copper-filled trench contact for transistor performance improvement
US9076844B2 (en) Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
JP7492618B2 (ja) 二重金属電力レールを有する集積回路の製造方法
US10700009B2 (en) Ruthenium metal feature fill for interconnects
TWI518843B (zh) 內連線結構及形成內連線結構的方法
US7799681B2 (en) Method for forming a ruthenium metal cap layer
JP2011238917A (ja) 半導体装置の製造方法
US10373867B2 (en) Cobalt contact and interconnect structures
US20180053688A1 (en) Method of metal filling recessed features in a substrate
US11164815B2 (en) Bottom barrier free interconnects without voids
CN112133675A (zh) 一种金属扩散阻挡层结构及其形成方法
US20170194192A1 (en) Metal filling and planarization of recessed features

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant