CN117936420A - 用于高压处理腔室的气体输送系统 - Google Patents

用于高压处理腔室的气体输送系统 Download PDF

Info

Publication number
CN117936420A
CN117936420A CN202311843605.2A CN202311843605A CN117936420A CN 117936420 A CN117936420 A CN 117936420A CN 202311843605 A CN202311843605 A CN 202311843605A CN 117936420 A CN117936420 A CN 117936420A
Authority
CN
China
Prior art keywords
chamber
pressure
gas
gas delivery
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311843605.2A
Other languages
English (en)
Inventor
梁奇伟
斯里尼瓦斯·D·内曼尼
肖恩·S·康
阿迪卜·汗
怡利·Y·叶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micromaterials LLC
Original Assignee
Micromaterials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micromaterials LLC filed Critical Micromaterials LLC
Publication of CN117936420A publication Critical patent/CN117936420A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Filling Or Discharging Of Gas Storage Vessels (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种高压处理系统,用于处理基板上的层,所述系统包括:第一腔室;支撑件,支撑件用于将基板保持于第一腔室中;第二腔室,第二腔室邻近第一腔室;前级管道,前级管道用于从第二腔室移除气体;真空处理系统,真空处理系统经配置以将第二腔室内的压力降低至接近真空;阀组件,阀组件位于第一腔室与第二腔室之间,用于将第一腔室内的压力与第二腔室内的压力隔离;气体输送系统,气体输送系统经配置以在第一腔室与第二腔室隔离的同时将第一腔室内的压力提升至至少10个大气压;排气系统,排气系统包括排气线以从第一腔室移除气体;和共同外壳,共同外壳围绕第一气体输送模块和第二气体输送模块两者。

Description

用于高压处理腔室的气体输送系统
本申请是申请日为2018年11月07日申请的申请号为201880072935.7,并且发明名称为“用于高压处理腔室的气体输送系统”的发明专利申请的分案申请。
技术领域
本发明涉及用于集成电路制造的高压处理腔室。
背景技术
微电子电路和其他微型装置通常由基板制成,诸如硅基板或其他半导体材料的基板。在基板上施加多个金属层,以形成微电子部件或其他微型部件,或提供电气连接。这些金属层(例如铜)被由一系列的光刻、镀覆、蚀刻、抛光或其他操作镀覆到基板上并形成部件和互连。
为了获得所需的材料性质,基板通常经过退火工艺,其中基板通常被快速加热至约200-500℃。基板可在一段相对较短的时间,例如60-300秒内被保持在这些温度下。基板随后被快速冷却,而整体工艺通常仅需要数分钟。退火可用于改变基板上的材料层性质。退火亦可用于活性化掺杂剂、在基板上的膜之间驱动掺杂剂、改变膜对膜界面或膜对基板界面、使经沉积的膜致密化、或修复离子注入(ion implantation)所造成的损害。
随着微电子装置和互连的特征结构(feature)尺寸缩小,可允许的缺陷率大大地降低。一些缺陷来自污染物颗粒。其他缺陷可来自基板的某些区域的不完全处理,例如没有在沟槽底部长成膜。
过去已使用了各种退火腔室。在单一基板处理设备中,这些退火腔室通常将基板放置在加热元件与冷却元件之间(或之上),以控制基板的温度分布。然而,获得精确的、可重复的温度分布以及可接受的缺陷水平,可呈现工程上的挑战。
发明内容
在一个示例中,提供一种用于处理基板上的层的高压处理系统。所述系统包括:第一腔室;支撑件,支撑件用于将基板保持于第一腔室中;第二腔室,第二腔室邻近第一腔室;前级管道(foreline),前级管道用于从第二腔室移除气体;真空处理系统,真空处理系统经配置以将第二腔室内的压力降低;阀组件,阀组件位于第一腔室与第二腔室之间,用于将第一腔室内的压力与第二腔室内的压力隔离;气体输送系统,气体输送系统经配置以将一种或更多种气体引入第一腔室,并在气体位于第一腔室中的同时且在第一腔室与第二腔室隔离的同时将第一腔室内的压力提升至至少10个大气压;控制器,控制器经配置以操作气体输送系统和阀组件;排气系统,排气系统包括排气线以从第一腔室移除气体;和共同外壳(common housing),共同外壳围绕第一气体输送模块和第二气体输送模块两者。气体输送系统包括第一气体输送模块以在第一压力下(至少10个大气压)输送第一气体,和第二气体输送模块以在第二压力下(小于第一压力但大于1个大气压)输送第一气体或成分不同于第一气体的第二气体。
实施方式可包括下列特征之一或更多者。
第二排气系统可被配置为从共同外壳移除气体。第二排气系统可被配置为从外壳引导气体至前级管道。第一和第二输送管线可将第一和第二气体输送模块耦接至第一腔室。包封壳体(containment enclosure)可被配置为将从第一和第二输送管线泄漏的气体转向至前级管道。共同外壳可与包封壳体流体性地隔离。
在另一示例中,一种用于处理基板上的层的高压处理系统包括:第一腔室;支撑件,支撑件用于将基板保持于第一腔室中;第二腔室,第二腔室邻近第一腔室;前级管道,前级管道用于从第二腔室移除气体;真空处理系统,真空处理系统经配置以将第二腔室内的压力降低至接近真空;阀组件,阀组件位于第一腔室与第二腔室之间,用于将第一腔室内的压力与第二腔室内的压力隔离;气体输送系统,气体输送系统经配置以将一种或更多种气体引入第一腔室,并在气体位于第一腔室中的同时且在第一腔室与第二腔室隔离的同时将第一腔室内的压力提升至至少10个大气压;排气系统,排气系统包括排气线以从第一腔室移除气体;和控制器。气体输送系统包括第一气体输送模块以在第一压力下(至少10个大气压)输送第一气体,以及第二气体输送模块以在第二压力下(小于第一压力但大于1个大气压)输送第一气体或成分不同于第一气体的第二气体。控制器被配置为操作气体输送系统、阀组件、真空处理系统和排气系统,使得阀组件将第一腔室与第二腔室隔离,随后第二气体输送模块将第一腔室从低于1个大气压的压力提升至第二压力,随后第二气体输送模块与第一腔室隔离,且随后第一气体输送模块将第一腔室从第二压力提升至第一压力。
实施方式可包括下列特征之一或更多者。
第一气体输送模块可包括泵,泵经配置以在将第一气体输送至第一腔室之前,提升第一气体的压力。第二气体输送模块可使用质量流量控制器、液体流量计或液体流量控制器,以将气体引导至第一腔室。第一压力传感器可定位在第一腔室中传感器,并且第二压力传感器可定位在第二腔室中传感器。控制器可被配置为使排气系统减少第一腔室中的压力,并使真空处理系统减少第二腔室中的压力。控制器可被配置为比较来自第一压力传感器和第二压力传感器的测量结果,并控制排气系统和真空处理系统,使得第一腔室中的压力高于第二腔室中的压力。
在另一示例中,一种操作高压处理系统的方法,包括以下步骤:将第一腔室和第二腔室调整至第一压力,第一压力小于一个大气压;在第一腔室与第二腔室之间的隔离阀为打开的同时,将基板从第二腔室传送入第一腔室;在隔离阀为关闭的同时,将第一腔室从第一压力减少至第二压力,并将第二腔室从第一压力减少至第三压力;由第二气体输送模块将第一腔室加压至第四压力,第四压力高于大气压力并小于10个大气压;由第一气体输送模块将第一腔室加压至第五压力,第五压力高于10个大气压;在第一腔室处于第五压力的同时,处理基板;对第一腔室抽气;以及打开隔离阀并将基板从第一腔室移除。
实施方式可包括下列特征之一或更多者。
将第一腔室加压至第五压力的步骤可包括:供应第一气体至第一腔室,且将第一腔室加压至第四压力的步骤可包括:供应具有不同成分的第二气体至第一腔室。第一气体可包括H2或NH3的至少一者。由第二气体输送模块将第一腔室加压的步骤可包括:由在第一气体输送模块与第一腔室之间的输送管线中的高压隔离阀,将第一气体输送模块与第一腔室隔离;以及通过打开在第二气体输送模块与第一腔室之间的输送管线中的低压隔离阀,将第二气体输送模块与第一腔室流体性地耦接。由第一气体输送模块将第一腔室加压的步骤可包括:由低压隔离阀将第二气体输送模块与第一腔室隔离;以及通过打开高压隔离阀,将第一气体输送模块与第一腔室流体性地耦接。
第三压力可小于第二压力。可比较来自第一腔室中的第一压力传感器与第二腔室中的第二压力传感器的测量结果,并继续减少第一腔室和第二腔室中的压力,直到第一腔室中的压力高于第二腔室中的压力为止。对第一腔室抽气的步骤可包括:将第一腔室中的压力降低至第六压力,第六压力小于第一压力。第六压力可大于第三压力。
实施方式可包括下列优点之一或更多者。
能够更安全地在腔室中建立高压。能够检测泄漏。
能够在整个基板上更均匀地处理或形成层。此外,高压处理亦能够进行在低压下无法进行的化学反应。
在附图和下面的说明中阐述了一个或更多个具体实施方式的细节。根据说明书、附图以及权利要求书,其他特征、目的和优点将是显而易见的。
附图说明
图1为处理平台的示意图。
图2为具有增强安全特征的高压处理系统的示意图。
图3为图示说明用于操作高压处理系统的方法的流程图。
图4为具有增强安全特征的高压处理系统的另一实施方式的示意图。
图5为图示说明用于操作高压处理系统的方法的流程图。
图6为用于高压处理系统的腔室的示意性侧视图。
在各图中,类似的参考符号指示类似的元件。
具体实施方式
如前所述,一些缺陷可因基板某些区域的不完全处理而造成。然而,高压处理(例如退火或沉积)可提升整个基板上处理的一致性。具体而言,退火可发生在高压环境中,在使用退火工艺形成层时(例如藉由热氧化或其他工艺,其中化学物质扩散到设置在基板上的材料中并与其反应),高压可帮助提升基板上材料层的表面覆盖的彻底性。例如,可以减少层在沟槽中的处理形成的问题。因此,能够在整个基板上更均匀地处理或形成层。此外,高压处理(例如退火或沉积)亦能够进行在低压下无法进行的化学反应。
另一问题为,在温度超过约70℃时,某些材料(诸如铜)将在暴露于氧时快速氧化。若铜或其他材料氧化,则基板可不再能被使用,或者在进一步处理之前必需先将氧化层移除。这些都是对于有效率地进行制造所无法接受的选项。因此,一个设计因素为将基板与氧隔离,特别是在基板温度超过约70℃时。因为氧当然会存在于环境空气中,在退火期间避免铜氧化也可呈现工程上的挑战。如本文所描述的,基板可被传送于高压处理腔室与低压(例如接近真空)环境中的不同的处理腔室之间,以避免基板被污染和氧化。
另一个考虑因素是压力。非常高的压力可提升所产生的基板的一致性和品质。然而,具有高压(例如高于10atm、高于15atm或高达20atm)的系统存在很高的破裂和失控风险。具有增强安全特征的系统有益于使用在这种超高压处理中。
图1图示集成多腔室基板处理系统,此系统适合用于执行物理气相沉积、化学气相沉积和/或退火工艺的至少一个实施方式。一般而言,多腔室基板处理系统包括至少一个高压处理腔室(例如能够在高于10个大气压的压力下操作以执行高压工艺,诸如沉积或退火)以及至少一个低压处理腔室(例如能够在低压(例如低于1个大气压)下操作以执行低压工艺,诸如蚀刻、沉积或热处理)。在一些实施方式中,多腔室处理系统为具有中央传送腔室的群集工具,中央传送腔室处于低压且可从中央传送腔室进出多个处理腔室。
本文所描述的工艺和系统的一些实施方式涉及沉积用于特征结构限定的材料层(例如金属和金属硅化物阻挡层)。例如,第一金属层被沉积在硅基板上并经过退火以形成金属硅化物层。第二金属层随后被沉积在金属硅化物层上以填充特征结构。形成金属硅化物层的退火工艺可由多个退火操作执行。
图1为处理平台100的一个实施方式的示意性俯视图,包括两个传送腔室102、104、分别位于传送腔室102、104中的传送机械手106、108、以及设置在两个传送腔室102、104上的处理腔室110、112、114、116、118、130。第一传送腔室102和第二传送腔室104为中央真空腔室,与邻近的处理腔室110、112、114、116、118、130界面连接。
第一传送腔室102和第二传送腔室104由直通腔室(pass-through chamber)120分隔开,直通腔室120可包括冷却腔室或预热腔室。在第一传送腔室102与第二传送腔室104在不同压力下操作时,在处理基板期间,直通腔室120亦可被抽气或通气。例如,第一传送腔室102可在约100毫托与约5托之间(诸如约40毫托)的压力下操作,且第二传送腔室104可在约1x10-5托与约1x10-8托之间(诸如约1x10-7托)的压力下操作。
由可编程控制器122操作处理平台100。控制器122可控制传送机械手106、108以在腔室之间传送基板,并可使处理平台100的每一腔室执行单独的操作以处理基板。
第一传送腔室102与两个除气腔室124、两个装载锁定腔室128、反应预清洗腔室118、至少一个物理气相沉积腔室110、以及直通腔室120耦接。预清洗腔室可为购于美国加州圣克拉拉(Santa Clara)市的应用材料公司的PreClean II腔室。通过装载锁定腔室128将基板(未示出)装载入处理平台100。例如,工厂界面模块132(若存在)将负责接收来自人类操作者或自动化基板处理系统的一个或更多个基板(例如基板盒)或封闭式基板舱。工厂界面模块132可开启基板盒或基板舱(若可应用),并将基板移入和移出装载锁定腔室128。处理腔室110、112、114、116、118、130从传送腔室102、104接收基板、处理基板、并允许基板被传送回传送腔室102、104。在将基板装载到处理平台100之后,分别在除气腔室124和预清洗腔室118中依次对基板进行除气和清洗。
每一处理腔室由隔离阀与传送腔室102、104隔离,隔离阀允许处理腔室在与传送腔室102、104不同的真空水平下操作,并防止处理腔室中使用的任何气体被引入传送腔室。装载锁定腔室128亦由隔离阀与传送腔室102、104隔离。每一装载锁定腔室128具有门,门向外部环境开启,例如向工厂界面模块132开启。在正常操作中,从工厂界面模块132通过门将装载有基板的盒放入装载锁定腔室128,并关闭门。装载锁定腔室128随后被抽气至与传送腔室102相同的压力,并打开装载锁定腔室128与传送腔室102之间的隔离阀。传送腔室102中的机械手被移入定位,且一个基板被从装载锁定腔室128移除。装载锁定腔室128装配有升降机构,以在一个基板被从盒移除时,升降机移动盒中的基板堆叠以将另一基板放置于传送平面中,使得基板可被放置在机械刃上。
传送腔室102中的传送机械手106随着基板旋转,使得基板对齐处理腔室位置。处理腔室中的任何有毒气体被冲洗掉、压力水平被设为与传送腔室相同、并开启隔离阀。传送机械手106接着将基板移入处理腔室,其中基板被提离机械手。传送机械手106随后从处理腔室缩回,并关闭隔离阀。处理腔室随后经过一系列的操作,以对基板执行所指定的处理。在完成时,处理腔室被调回与传送腔室102相同的环境,并开启隔离阀。传送机械手106将基板从处理腔室移除,且随后将基板移至另一处理腔室以进行另一操作,或将基板再放回装载锁定腔室128以在基板盒整体已被处理后移出处理平台100。
传送机械手106、108分别包括机械臂107、109,机械臂107、109支撑并于不同的处理腔室之间移动基板。传送机械手106在除气腔室124与预清洗腔室118之间移动基板。基板随后可被传送至长间距(long throw)PVD腔室110,以在基板上沉积材料。
第二传送腔室104耦接至处理腔室116、112、114、130的群集。处理腔室116、112可为用于按照操作者所需来沉积材料(诸如钨)的化学气相沉积(CVD)腔室。经PVD处理的基板被从第一传送腔室102,经由直通腔室120移入第二传送腔室104。此后,传送机械手108移动基板于处理腔室116、112、114、130之一或更多者之间,以进行处理所需的材料沉积和退火。
当然,前述实施方式仅为示例性的;每一传送腔室可具有不同数量的处理腔室(例如一至五个腔室);处理腔室可具有不同的功能分配;系统可具有不同数量的传送腔室(例如仅有单个传送腔室);以及传送腔室可被整体省略,且系统可仅具有单个独立式处理腔室。
图2图示说明受控制的高压处理系统200,高压处理系统200创建高压环境以处理基板,并在基板被传送于处理腔室之间时对基板创建低压环境。受控制的高压处理系统200包括高压内侧第一腔室202和低压外侧第二腔室204。
第一腔室202可对应于处理平台100的处理腔室110、112、114、116、118、130之一,且第二腔室204可对应于处理平台100的传送腔室102、104之一。或者,在一些实施方式中,处理腔室110、112、114、116、118、130之一包括第一腔室202和第二腔室204两者。第一腔室202可对应于内侧腔室,且第二腔室204可对应于围绕内侧腔室的外侧腔室。
可独立于第二腔室204中的压力,来控制第一腔室202内的压力。若第一腔室202和第二腔室204不同于传送腔室,则可独立于传送腔室内的压力来控制第一腔室202和第二腔室204的压力。受控制的高压系统200进一步包括气体输送系统206、真空处理系统208以及控制器210。在一些示例中,处理平台100的控制器122可包括控制器210。
第一腔室202经配置(例如密封和加强)以容纳非常高的压力(例如至少10个大气压的压力,例如40-80atm的压力)。相对地,第二腔室204经配置(例如密封和加强)以容纳非常低的压力(例如低于1个大气压的压力,例如低至约100毫托的压力)。第二腔室204的低压环境,可抑制基板或基板上沉积的材料的污染和/或氧化。
第二腔室204邻近于第一腔室202。在一些实施方式中,第二腔室204亦围绕第一腔室202(若第二腔室204未围绕第一腔室,则第二腔室仍可被视为外侧腔室,因为基板将穿过第二腔室以到达第一腔室)。在一些实施方式中,第二腔室204实质上围绕(例如至少80%的)第一腔室202。
如前所述,第二腔室204可对应于传送腔室(例如传送腔室102或传送腔室104),传送腔室于不同的处理腔室之间接收基板。或者,第二腔室204可为位于第一腔室202与传送腔室102(或传送腔室104)之间的单独腔室。
内侧(例如第一)腔室202包括基板支撑件218(例如底座)以支撑工件(诸如基板10),工件待被处理(例如经受退火或在其上沉积材料层)。支撑件218被定位或可被定位在第一腔室202内。在一些实施方式中,基板10直接位于底座的平坦顶表面上。在一些实施方式中,基板位于从底座凸起的升降销上。
第一腔室202与第二腔室204之间的第一阀组件212使第一腔室202内的压力与第二腔室204内的压力隔离。第一腔室202内的高压环境可因此被密封并与第二腔室204内的低压环境隔离。第一阀组件212可开启以使基板10能被从第二腔室204(或通过第二腔室204)传送进入第一腔室202,或使基板能被从第一腔室202传送进入第二腔室204(或通过第二腔室204)。
第二腔室204与外部环境(例如传送腔室)之间的第二阀组件213使第二腔室204内的压力与第二腔室204外侧的压力隔离。
气体输送系统206经配置以加压第一腔室202。具体而言,气体输送系统206可输送处理气体至第一腔室202并在第一腔室中建立高压,例如处于至少10个大气压的压力,例如高于15atm、高于20atm、高于30atm、高达50atm、高达60atm、高达70atm、高达80atm。处理气体可例如在退火工艺期间与基板10(例如基板10上的层)反应,或作为要沉积到基板上的材料的来源。
在一些实施方式中,气体输送系统206包括第一气体输送模块242以输送第一气体至第一腔室202,以及第二气体输送模块244以输送第一气体或具有不同于第一气体的成分的第二气体至第一腔室202。第一气体输送模块242经配置以于高压(例如10至80bar的压力)输送第一气体至第一腔室202。相对地,第二气体输送模块244经配置以于低压(例如少于1bar)输送气体。
输送模块242、244连接至供应相应气体的设施供应或气体槽。输送模块242、244由各自的输送管线252、254连接至腔室202。至第一气体输送模块242的输送管线252可包括高压隔离阀232,且至第二气体输送模块244的输送管线254可包括低压隔离阀234。
可于高于大气压力但相较于第一腔室中的最终压力而言仍为相对较低的压力下,将第一气体供应至第一气体输送模块242。例如,可于40至80psi(约2.7至5.4atm)的压力下,将第一气体输送至第一气体输送模块242。第一气体输送模块242包括泵(例如增压泵)。泵提升入送第一气体(诸如(例如)氢气)的压力。泵可提升压力约二至二十倍,在一些情况中高达80atm。
可于高于大气压力但相较于第一腔室中的最终压力而言仍为相对较低的压力下,将气体供应至第二气体输送模块244。例如,亦可于40至80psi(约2.7至5.4atm)的压力下,将气体输送至第二气体输送模块244。然而,第二气体输送模块244不需要包括泵。相对地,可使用传统的质量流量控制器、液体流量计或液体流量控制器,以将气体引导至第一腔室202。
第一气体输送模块242和第二气体输送模块244可被包含在共同外壳246内。在一些实施方式中,外壳246内部与下面所论述的其他包封容器流体隔离。排气系统248可用于对外壳246的内部抽气。这可防止在气体输送系统发生泄漏时,腐蚀性或爆炸性的气体集聚在外壳内。在一些实施方式中,包封组件包括多个零件,每一零件为承压壳体,其围绕并封装相应的气体输送模块。例如,第一气体输送模块242可被封装在第一外壳中,水蒸汽输送模块244在外壳中。排气系统248可耦接至前级管道214,或耦接至单独的真空系统。
第一气体包括处理气体,例如H2、NH3、O2或O3。在一些实施方式中,第一气体为实质上纯净的处理气体。或者,第一气体可包括处理气体和惰性气体(例如氩气)两者。
如前所述,来自第二气体输送模块244的气体的成分可与第一气体相同,或可为不同的第二气体。第二气体亦可为实质上纯净的处理气体,或处理气体和惰性气体的组合。在一些实施方式中,第二气体包括水,例如第二气体可为水蒸汽,诸如干燥或过热蒸汽。
高压系统200包括前级管道214,前级管道214将第二腔室204连接至真空处理系统208。外侧隔离阀216沿着前级管道214布置,以将第二腔室204内的压力与真空处理系统208的压力隔离。可操作外侧隔离阀216以调整第二腔室204内的压力,以及释放第二腔室204内的气体。外侧隔离阀216可与真空处理系统208结合操作,以调节第二腔室204内的压力。
真空处理系统208经配置以将第二腔室204的压力降低至接近真空的压力,例如少于1毫托。特定而言,真空处理系统208可将第二腔室204内的压力降至接近真空,从而产生适当的低压环境以用于传送基板。在操作期间,在第一腔室202中达到的超高压力(例如高于10atm、高于15atm)需要第二腔室204中的相应较高压力(低于约1atm(例如约0.85atm或640托))。
在一些实例中,真空处理系统208包括干式线泵(dry line pump)。为了容许异常高的压力(例如防止由泄漏所造成的高压破坏干式线泵),气体在抵达干式线泵之前被扩展。在一些实例中,气体流经大直径扩散器(例如20英寸(inch)乘以5英尺(ft.)高的扩散器)。
气体输送系统206包括排气线211以从第一腔室202排出第一气体,由此将第一腔室202减压。在一些实施方式中,排气线耦接至排气系统(例如前级管道214和真空处理系统208)或单独的真空系统源。排气线211可包括内侧排气隔离阀230,内侧排气隔离阀230可被关闭以将第一腔室202与排气系统隔离。
为了提升安全性,系统200可包括包封组件。包封组件可至少包括包封壳体260,包封壳体260在输送管线252、254进入腔室204处包围输送管线252、254以使其流体连接至腔室202。此外,每一输送管线252、254可被包围在各自的导管256、258中,导管256、258在外壳246与壳体260之间延伸。
包封组件亦可包括包封排气线268。包封排气线268包围包封壳体260与排气系统之间的排气线211。包封排气线268也将包封壳体260流体连接至排气系统(例如至前级管道214和真空处理系统208)或单独的真空系统源。因此,在输送管线252、254中(或来自输送管线与第二腔室204的接点)的任何泄漏,被抽吸通过包封壳体260并流至排气系统。
输送管线252、254的每一管线具有减压管线,减压管线在包封壳体260内具有减压阀252a、254a。由减压管线释放的任何积累在输送管线252、254内的压力将流入包封壳体260并从系统200移除(例如通过包封排气线268),或在一些实例中经由连接至排气系统的单独的排气通道移除。
系统200亦包括将第一腔室202耦接至减压阀276的减压线。减压阀276可被定位在第二腔室204中。在此情况中,若第一腔室202中的压力超过可允许水平,则由减压阀276释放的气体将流入外侧腔室204且通过前级管道214被移除。或者,减压阀276可被定位在包封壳体260中。在此情况中,由减压阀276释放的气体将通过排气线211被移除。
因此,所有加压部件可被包含在包封组件内,使得系统200可减少非期望的泄漏、断裂或破裂,而不用将加压气体暴露于大气。
系统200中包括多个气体传感器280。具体而言,气体传感器280可为氢传感器。传感器280被整合入可能的泄漏位置中,例如在包封壳体260内和排气线268内。若任何传感器280检测到气体泄漏(例如氢泄漏),则控制器210将检测来自传感器280的信号,并将首先关闭气体输送模块242、关闭第一气体输送模块242内的泵、或采取其他适当的行动。响应于由一个或更多个传感器280检测到的泄漏,输送管线252、254中的隔离阀亦可被关闭。
此外,系统200可包括一个或更多个压力传感器282。例如,第一腔室202中可有第一压力传感器282,而第二腔室204中可有第二压力传感器282。压力传感器282耦接至控制器210。
图3图示说明一种操作系统200以处理基板的方法。系统200开始于打开隔离阀212、213。由机械手106或108通过开启阀212、213和第二腔室204,将基板插入第一腔室202(于操作302)。控制器可操作机械手以将基板10载入第一腔室202,并将基板10放置到底座上。
第一腔室202和第二腔室204被真空系统抽气至第一压力(例如100-300毫托),且随后在基板10的传送期间维持在低压(于操作304)。这可帮助防止基板10氧化。
第一隔离阀212被关闭(于操作306)。可选地,亦可关闭第二隔离阀213。
使用真空系统以进一步将第一腔室202抽气至低于第一压力的第二压力,并将第二腔室抽气至低于第二压力的第三压力(于操作308)。例如,第一压力和第二压力两者可为1-50毫托。第一压力可为100-300毫托,且第二压力可为1-50毫托。
第一腔室202和第二腔室204中的压力由传感器282测量,且控制器可接收来自传感器282的信号。
若腔室202、204任一者中的压力超过泄漏阈值,则这可指示气体正从外部环境泄漏入腔室。在此情况中,可中止对基板的处理。
此外,控制器可比较测得的压力(于操作310)。若第一腔室中的压力P1与第二腔室中的压力P2之间的差异未超过阈值,则可继续对腔室抽气。
一旦腔室202、204达到所需压力,则关闭内侧排气隔离阀230并打开低压隔离阀234(于操作312)。这将第一腔室202与排气系统隔离,但将第一腔室202耦接至第二气体输送模块244。
接着,第二气体输送模块244将第一气体或第二气体输送至第一腔室202(于操作314)。这将第一腔室202中的压力提升至高于第一压力的第四压力。第四压力可高于大气压力,例如为40-80psi的压力。可使用常见的流量控制(例如不需要压力伺服控制算法)来执行由第二气体输送模块244输送气体。
一旦内侧腔室202已被升高至第四压力,则关闭低压隔离阀234并打开高压隔离阀232(于操作316)。这将第一腔室202与第二气体输送模块244隔离,例如以避免随后操作中的高压对第二气体输送模块244造成损害。这亦将第一腔室202耦接至第一气体输送模块242。
接着,第一气体输送模块242将第一气体输送至第一腔室202(于操作318)。这将第一腔室202中的压力提升至高于第四压力的第五压力。如前所述,第五压力可为10-80大气压。第一气体输送模块242的气体输送可由控制器210使用压力伺服控制算法来控制。
控制器可比较第一腔室202内的测得的压力P1与所需的处理压力PP(于操作320)。若第一腔室中的压力P1小于所需的处理压力PP,则可继续对第一腔室202加压。
一旦内侧腔室202已升至第五压力,则关闭高压隔离阀232(于操作322)。这使第一腔室202与第一气体输送模块242隔离。
现在在第一腔室202中处理基板10(于操作324)。处理可持续一设定时间,例如由控制器中的定时器测量的时间。第一气体可为与基板10上的层反应的退火气体。或者,气体可包括要沉积到基板10上的材料。第一腔室202中的适当温度和压力条件可使得发生材料退火或沉积。在处理(例如退火或沉积)期间,控制器可操作支撑件218中的一个或更多个加热元件219,以对基板10加热,以协助处理基板10上的材料层。
在完成对基板10上材料层的处理时,关闭外侧隔离阀216,并打开内侧隔离阀230(于操作326)。这只将第一腔室202耦接至排气系统,同时保持密封第二腔室204。
内侧腔室被抽气至第六压力(于操作328)。第六压力可小于第一压力但大于第三压力,例如约等于第二压力。因此,压力处于接近真空的压力,使得第一腔室202与第二腔室204之间的压力差为较小。
同样的,控制器可比较所测得的压力(于操作330)。若第一腔室中的压力P1与第二腔室中的压力P2之间的差异未超过阈值,则可继续对腔室抽气。
一旦内侧腔室202到达第六压力,则打开第一隔离阀212(于操作332)。此外,第二隔离阀若为关闭,则亦可将其打开。接着打开外侧排气隔离阀116。因为内侧与外侧排气共享相同的前级管道,在内侧排气期间保持关闭外侧排气隔离阀,可保护升降销和加热器波纹管免受损坏。
最后,可使用机械手106或108将基板10从第一腔室202移除,且在需要时传送至随后的处理腔室。
图4图示说明受控制的高压处理系统200′,高压处理系统200′创建高压环境以处理基板,并在基板被传送于处理腔室之间时对基板创建低压环境。除了第二气体输送模块244′为可在高压下(例如在10-80bar的压力下)将第二气体输送至第一腔室202的高压气体输送模块之外,系统200′可与系统200相同。第二气体为液体蒸汽,例如水蒸汽。输送管线254中的阀234′为第二高压隔离阀。
图5图示说明一种操作系统200或200′以处理基板的方法。此程序类似于参照图3所说明的程序,除了下面所论述的以外。
具体而言,操作系统200或200′的方法在单一操作中而非在多个阶段中在第一腔室202中供应气体以到达高压。因此,可仅使用系统200的第一气体输送模块242、或仅使用系统200′的第一气体输送模块242、或仅使用系统200′的第二气体输送模块244′、或使用系统200′的第一气体输送模块242和第二气体输送模块244′两者但操作第二气体输送模块244′以模拟第一气体输送模块242(例如同时开关气体输送模块的隔离阀等等),来执行此程序。
具体而言,关闭内侧排气隔离阀230(于操作312′),并打开高压隔离阀232和/或234′(于操作316′)。第一气体输送模块242和/或第二气体输送模块244′将第一气体和/或第二气体输送至第一腔室202(于操作318′)。这将第一腔室202中的压力从第二压力提升至第五压力。如前所述,第五压力可为10-80大气压。第一气体输送模块242的气体输送可由控制器210使用压力伺服控制算法来控制。
输送至第一腔室202的气体可包括H2或NH3,例如若仅使用系统200的第一气体输送模块242或仅使用系统200′的第一气体输送模块242。或者,输送至第一腔室202的气体可包括液体蒸汽(例如水蒸汽),例如若仅使用系统200′的第二气体输送模块244′。或者,输送至第一腔室202的气体可包括水蒸汽与另一处理气体的混合物,例如若使用系统200′的第一气体输送模块242和第二气体输送模块244′两者。
图6图示说明高压处理系统200(或200′)中第一腔室202和第二腔室204的可能配置。高压处理系统200进一步包括第一腔室202与第二腔室204之间的阀组件212。此实施方式可让第二腔室204作为传送腔室的一部分(例如处于压力平衡中)。
第二腔室204可由内侧壁420与外侧壁424之间的容积来界定。此外,基板10可被支撑在(提供基板支撑件218的)底座418上。一个或更多个元件219(例如电阻式加热器)可被嵌入底座418。基板可直接位于底座418上,或位于延伸穿过底座的升降销组件430上。
由臂425形成阀组件212,臂425可相对于第一腔室202的内侧壁420与基座422移动。具体而言,阀组件212包括第一腔室202与第二腔室204之间的狭缝阀423。狭缝阀423包括狭缝423a和臂425。狭缝423a延伸穿过第一腔室402的内侧壁420之一。臂425的垂直端425a定位在第一腔室202之外,同时臂425的水平端425b定位在第一腔室202内。臂425的垂直端425a可被定位在第二腔室204内,并由定位在第二腔室204内的致动器驱动。或者,臂425的垂直端425a被定位在第二腔室204外,并由也定位在第二腔室204外的致动器428驱动。
臂425延伸穿过狭缝423a,并可被相对于壁420移动,使得臂425可被移动至与壁420形成密封的位置。致动器428耦接至臂425的垂直端425a,并相对于壁420驱动臂425的水平端425b。臂425可垂直移动以覆盖或露出狭缝423a。具体而言,臂425的垂直端425a可为凸缘(或包括凸缘),凸缘实质平行于内侧壁420的邻近内侧表面而延伸。臂425亦可被侧向驱动,使得臂425的水平端425b可接合或脱开壁420。臂425亦可延伸穿过外侧壁424中的孔426。
阀组件212可在打开位置与关闭位置之间移动。在阀组件212位于打开位置时,臂425的水平端425b与壁420(例如壁420的内侧表面)侧向间隔开。此外,臂425的水平端425b被垂直定位,以露出狭缝423a。狭缝423a因此提供开口以致使第一腔室202与第二腔室204之间流体连通,并亦致使基板10被移入和移出第一腔室202(例如通过上文论述的机械手)。
在阀组件212位于关闭位置时,臂425的水平端425b覆盖狭缝423a并接触壁420之一,藉以形成密封而将第一腔室202与第二腔室204隔离。在加压时,凸缘或水平端425b接触壁420的界定第一腔室202的内侧表面。沿着水平端425b的周围在接触壁420的表面上放置O形环,在第一腔室402被加压时帮助加强包封的密封程度。
底座418中的加热元件219加热第一腔室202中的气体(例如高达250℃)。为了防止O形环受损害,臂425可包括内部气体通道480。内部气体通道480被从冷却气源484供应,并为让冷却气体流经臂425的导管。内部气体通道480可延伸穿过水平端425b,或穿过水平端425b和垂直端425a两者。内部气体通道与冷却气源484可经配置以使得在阀组件212位于打开位置时,没有气体从冷却气源484提供,而在传送基板时防止冷却气体流入。
已说明了本发明的数个实施方式。然而应理解,在不背离本发明的精神和范围的情况下,可进行各种修改。例如,尽管上文描述由钴或镍膜层形成金属硅化物层,但在一些实施方式中可使用其他材料。例如,其他材料可包括钛、钽、钨、钼、铂、铁、铌、钯及其组合,以及其他合金,包括镍钴合金、钴钨合金、钴镍钨合金、掺杂的钴和镍合金、或镍铁合金,以形成如本文所描述的金属硅化物材料。
尽管上文是在退火或沉积系统的背景内容中进行描述的,但根据所提供的气体,高压腔室可用于蚀刻系统。或者,高压腔室可被填充惰性气体,且高压腔室可被单纯用于在高压下进行热处理。本文所描述的处理平台可包括其他类型的处理腔室。例如,处理平台可包括蚀刻腔室,以将图案蚀刻到基板表面上。
处理平台的不同腔室的每一者可具有不同的压力环境,范围从接近真空到多于50个大气压。腔室之间的隔离阀(例如真空阀)可隔离彼此的压力,使得每一腔室内可维持这些不同的压力环境。
因此,其他实施方式落在以下权利要求的范围内。

Claims (17)

1.一种用于处理基板上的层的高压处理系统,所述高压处理系统包括:
第一腔室;
第二腔室,所述第二腔室邻近所述第一腔室;
阀组件,所述阀组件位于所述第一腔室与所述第二腔室之间并且经配置以将所述第一腔室与所述第二腔室隔离;
气体输送系统,所述气体输送系统经配置以:
在所述第一腔室与所述第二腔室隔离的同时,将所述第一腔室加压至至少约10个大气压的第一压力;和
在所述第一腔室与所述第二腔室隔离的同时,将所述第一腔室加压至在大于约1个大气压且小于所述第一压力的范围内的第二压力;和
第一输送管线和第二输送管线,所述第一输送管线和所述第二输送管线将所述气体输送系统耦接至所述第一腔室,其中所述第一输送管线和所述第二输送管线进入所述第二腔室的第一侧并且流体连接至所述第一腔室。
2.如权利要求1所述的高压处理系统,进一步包括:
前级管道,所述前级管道经配置以从所述第二腔室移除气体,所述前级管道连接至所述第二腔室的与所述第一侧相对的第二侧。
3.如权利要求2所述的高压处理系统,进一步包括:
第一排气系统,所述第一排气系统包括排气线,并且所述第一排气系统经配置以从所述第一腔室移除气体,其中所述排气线经由所述第二腔室的所述第一侧连接至所述第一腔室。
4.如权利要求3所述的高压处理系统,其中所述气体输送系统包括第一气体输送模块和第二气体输送模块,并且其中所述高压处理系统进一步包括:
共同外壳,所述共同外壳围绕所述第一气体输送模块和所述第二气体输送模块;和
第二排气系统,所述第二排气系统经配置以从所述共同外壳引导气体至所述前级管道。
5.如权利要求4所述的高压处理系统,进一步包括:包封壳体,所述包封壳体经配置以将从所述第一输送管线和所述第二输送管线泄漏的气体转向至所述前级管道。
6.如权利要求1所述的高压处理系统,进一步包括:
控制器,所述控制器经配置以:
操作所述阀组件以将所述第一腔室与所述第二腔室隔离;
操作所述气体输送系统以将所述第一腔室加压至所述第一压力;和
操作所述气体输送系统以将所述第二腔室加压至所述第二压力。
7.如权利要求6所述的高压处理系统,其中所述控制器进一步经配置以:
使排气系统在所述第一腔室中产生第三压力,所述第三压力小于所述第一压力;
使真空处理系统在所述第二腔室中产生第四压力,所述第四压力小于所述第二压力;
比较来自所述第一腔室中的第一压力传感器与所述第二腔室中的第二压力传感器的测量结果;并
控制所述排气系统和所述真空处理系统以维持所述第三压力大于所述第四压力。
8.如权利要求1所述的高压处理系统,其中所述气体输送系统进一步经配置以:
将第一气体输送至所述第一腔室中;和
将第二气体输送至所述第二腔室中。
9.如权利要求8所述的高压处理系统,其中所述气体输送系统包括泵,所述泵经配置以在所述第一气体被输送至所述第一腔室之前,提升所述第一气体的压力。
10.一种处理基板上的层的方法,所述方法包括:
在第一腔室与邻近于所述第一腔室的第二腔室隔离的同时,通过气体输送系统将所述第一腔室加压至至少约10个大气压的第一压力,所述气体输送系统经由第一输送管线和第二输送管线耦接至所述第一腔室,其中所述第一输送管线和所述第二输送管线进入所述第二腔室的第一侧并且流体连接至所述第一腔室;和
在通过阀组件将所述第一腔室与所述第二腔室隔离的同时,通过所述气体输送系统将所述第一腔室加压至在大于约1个大气压且小于所述第一压力的范围内的第二压力,其中所述阀组件设置在所述第一腔室与所述第二腔室之间并且经配置以将所述第一腔室与所述第二腔室隔离。
11.如权利要求10所述的方法,进一步包括:经由前级管道从所述第二腔室移除气体,所述前级管道连接至所述第二腔室的与所述第一侧相对的第二侧。
12.如权利要求11所述的方法,进一步包括:经由第一排气系统从所述第一腔室移除气体,所述第一排气系统包括排气线,所述排气线经由所述第二腔室的所述第一侧连接至所述第一腔室。
13.如权利要求12所述的方法,进一步包括:经由第二排气系统从共同外壳引导气体至所述前级管道,所述共同外壳围绕所述气体输送系统的第一气体输送模块和第二气体输送模块。
14.如权利要求13所述的方法,进一步包括:经由包封壳体将从所述第一输送管线和所述第二输送管线泄漏的气体转向至所述前级管道。
15.如权利要求10所述的方法,进一步包括:
经由排气系统在所述第一腔室中产生第三压力,所述第三压力小于所述第一压力;
经由真空处理系统在所述第二腔室中产生第四压力,所述第四压力小于所述第二压力;
比较来自所述第一腔室中的第一压力传感器与所述第二腔室中的第二压力传感器的测量结果;并
基于所述测量结果的比较,维持所述第三压力大于所述第四压力。
16.如权利要求10所述的方法,进一步包括:
经由所述气体输送系统将第一气体输送至所述第一腔室中;和
经由所述气体输送系统将第二气体输送至所述第二腔室中。
17.如权利要求16所述的方法,进一步包括:在所述第一气体被输送至所述第一腔室之前,经由所述气体输送系统的泵提升所述第一气体的压力。
CN202311843605.2A 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统 Pending CN117936420A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762584794P 2017-11-11 2017-11-11
US62/584,794 2017-11-11
CN201880072935.7A CN111357090B (zh) 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统
PCT/US2018/059643 WO2019094481A1 (en) 2017-11-11 2018-11-07 Gas delivery system for high pressure processing chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880072935.7A Division CN111357090B (zh) 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统

Publications (1)

Publication Number Publication Date
CN117936420A true CN117936420A (zh) 2024-04-26

Family

ID=66432396

Family Applications (3)

Application Number Title Priority Date Filing Date
CN202311832048.4A Pending CN117936417A (zh) 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统
CN202311843605.2A Pending CN117936420A (zh) 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统
CN201880072935.7A Active CN111357090B (zh) 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202311832048.4A Pending CN117936417A (zh) 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880072935.7A Active CN111357090B (zh) 2017-11-11 2018-11-07 用于高压处理腔室的气体输送系统

Country Status (8)

Country Link
US (3) US10720341B2 (zh)
EP (2) EP3707746B1 (zh)
JP (2) JP7112490B2 (zh)
KR (3) KR102396319B1 (zh)
CN (3) CN117936417A (zh)
SG (1) SG11202003355QA (zh)
TW (2) TW202333273A (zh)
WO (1) WO2019094481A1 (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11380564B2 (en) 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20220026713A (ko) 2020-08-26 2022-03-07 주식회사 원익아이피에스 기판처리방법과, 그에 따른 기판처리장치 및 반도체 소자 제조방법
KR20220056750A (ko) * 2020-10-28 2022-05-06 주식회사 원익아이피에스 기판 처리 방법
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
JP7197739B2 (ja) * 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法
US20230060192A1 (en) * 2021-09-02 2023-03-02 Entegris, Inc. Methods and apparatus for processing an electrostatic chuck
KR102396669B1 (ko) * 2021-12-20 2022-05-12 주식회사 에이치피에스피 반도체 챔버의 공정 전과 공정 후 잔류 가스 검출 장치
JP2023173097A (ja) * 2022-05-25 2023-12-07 東京エレクトロン株式会社 基板処理装置及び酸素混入抑制方法
US20240068093A1 (en) * 2022-08-25 2024-02-29 Applied Materials, Inc. System and method for controlling foreline pressure

Family Cites Families (605)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
KR0155572B1 (ko) 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
ATE251342T1 (de) 1991-05-28 2003-10-15 Trikon Technologies Ltd Verfahren zum füllen eines hohraumes in einem substrat
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
TW347570B (en) 1996-12-24 1998-12-11 Toshiba Co Ltd Semiconductor device and method for manufacturing the same
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
EP1084076B1 (en) * 1999-03-04 2008-10-08 Surface Technology Systems Plc Chlorotrifluorine gas generator system
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2000060659A1 (en) 1999-04-02 2000-10-12 Silicon Valley Group, Thermal Systems Llc Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) * 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2003243374A (ja) * 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
KR20050019129A (ko) * 2002-06-13 2005-02-28 비오씨 에드워즈 인코포레이티드 기판 처리 장치 및 기판 처리 방법
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
WO2004032189A2 (en) 2002-09-30 2004-04-15 Miasolé Manufacturing apparatus and method for large-scale production of thin-film solar cells
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
US7027722B2 (en) 2002-11-25 2006-04-11 Koyo Thermo Systems Co., Ltd. Electric heater for a semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
EP1597752A2 (en) 2003-02-04 2005-11-23 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
KR100914087B1 (ko) 2003-05-13 2009-08-27 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버의 개구를 밀봉하기 위한 방법 및 장치
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
EP1702351A2 (en) 2003-12-23 2006-09-20 John C. Schumacher Exhaust conditioning system for semiconductor reactor
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4593210B2 (ja) * 2004-09-08 2010-12-08 株式会社協真エンジニアリング 高圧アニール装置の反応容器保護方法及び装置
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
JPWO2006098101A1 (ja) 2005-03-16 2008-08-21 日本電気株式会社 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
ES2317159T3 (es) 2005-06-10 2009-04-16 Obducat Ab Replicacion de modelo con sello intermedio.
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
EP1948852B1 (en) 2005-11-18 2018-08-29 Luxembourg Institute of Science and Technology (LIST) Master electrode and method of forming the master electrode
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) * 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
JP2008053258A (ja) * 2006-08-22 2008-03-06 Ihi Corp 熱処理装置および熱処理方法とその制御装置
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
JP2010525530A (ja) 2007-04-30 2010-07-22 アイファイアー・アイピー・コーポレーション 厚膜誘電性エレクトロルミネセントディスプレイ用の積層厚膜誘電体構造
WO2008147522A1 (en) 2007-05-25 2008-12-04 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
KR20100084676A (ko) 2007-10-26 2010-07-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 연료 회로를 사용하는 스마트 저감을 위한 방법 및 장치
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
KR101749044B1 (ko) 2008-05-02 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
TWI527930B (zh) 2009-02-04 2016-04-01 應用材料股份有限公司 用於電漿製程的接地回流路徑
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2012518281A (ja) 2009-02-15 2012-08-09 ウッドラフ、ジェイコブ 平衡前駆体から作られる、太陽電池の吸収層
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
CN102439697B (zh) 2009-04-03 2015-08-19 应用材料公司 高压rf-dc溅射及改善此工艺的膜均匀性和阶梯覆盖率的方法
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
WO2011001735A1 (ja) 2009-07-01 2011-01-06 三菱電機株式会社 薄膜太陽電池およびその製造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (ja) 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
WO2011062043A1 (en) 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR20130055607A (ko) 2010-04-23 2013-05-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
JP5806827B2 (ja) * 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
CN103608925B (zh) 2011-07-13 2017-06-13 应用材料公司 制造薄膜晶体管器件的方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9190320B2 (en) * 2012-01-26 2015-11-17 Applied Materials, Inc. Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
CN106847737B (zh) * 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
JPWO2013129701A1 (ja) 2012-03-02 2015-07-30 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
JP5792390B2 (ja) 2012-07-30 2015-10-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US20150357232A1 (en) 2013-01-22 2015-12-10 Ps4 Luxco S.A.R.L. Method for manufacturing semiconductor device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
MY171887A (en) 2013-02-19 2019-11-06 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US10427303B2 (en) 2013-03-15 2019-10-01 Applied Materials, Inc. Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
WO2015023404A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
KR102403706B1 (ko) 2013-09-27 2022-05-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
US10551731B2 (en) 2013-12-22 2020-02-04 Applied Materials, Inc. Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
KR101649356B1 (ko) * 2014-01-20 2016-08-18 주식회사 풍산 반도체 기판 처리장치
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN106463358B (zh) 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
WO2016038664A1 (ja) 2014-09-08 2016-03-17 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9613859B2 (en) 2015-01-09 2017-04-04 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
KR20240042186A (ko) 2015-02-06 2024-04-01 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10103046B2 (en) 2015-04-20 2018-10-16 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI791199B (zh) 2015-05-11 2023-02-01 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
KR102542758B1 (ko) 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
WO2016196105A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
CN108780819B (zh) 2016-03-11 2022-06-14 株式会社半导体能源研究所 复合体及晶体管
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
CN109791913A (zh) 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
TWI758398B (zh) 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102606653B1 (ko) 2017-03-31 2023-11-24 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
CN110573653B (zh) 2017-04-21 2022-01-11 应用材料公司 改良式电极组件
CN110574150B (zh) 2017-05-01 2023-09-19 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
KR20190137967A (ko) 2017-06-02 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 기판 상에 증착된 막들의 품질 개선
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
CN108195493A (zh) 2018-01-31 2018-06-22 中国计量大学 一种基于pcf马赫-泽德干涉仪(mzi)的高灵敏度应力传感装置
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
EP3756217A4 (en) 2018-02-22 2021-11-10 Applied Materials, Inc. PROCESS FOR TREATING A MASK SUBSTRATE ALLOWING A BETTER FILM QUALITY
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Also Published As

Publication number Publication date
TW201931496A (zh) 2019-08-01
US10720341B2 (en) 2020-07-21
CN111357090A (zh) 2020-06-30
KR20200088381A (ko) 2020-07-22
CN117936417A (zh) 2024-04-26
JP7112490B2 (ja) 2022-08-03
KR20230144106A (ko) 2023-10-13
US20190148178A1 (en) 2019-05-16
TW202333273A (zh) 2023-08-16
JP2021502704A (ja) 2021-01-28
JP2022165996A (ja) 2022-11-01
US11527421B2 (en) 2022-12-13
KR102585074B1 (ko) 2023-10-04
US20200350183A1 (en) 2020-11-05
EP3707746A1 (en) 2020-09-16
KR102396319B1 (ko) 2022-05-09
WO2019094481A1 (en) 2019-05-16
US11756803B2 (en) 2023-09-12
TWI800550B (zh) 2023-05-01
EP3707746A4 (en) 2021-08-18
CN111357090B (zh) 2024-01-05
EP4321649A2 (en) 2024-02-14
US20230093374A1 (en) 2023-03-23
EP3707746B1 (en) 2023-12-27
SG11202003355QA (en) 2020-05-28
KR20220065077A (ko) 2022-05-19
EP4321649A3 (en) 2024-05-15

Similar Documents

Publication Publication Date Title
CN111357090B (zh) 用于高压处理腔室的气体输送系统
CN111066132B (zh) 用于高压处理腔室的气体输送系统
KR102509015B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination