CN111066132B - 用于高压处理腔室的气体输送系统 - Google Patents
用于高压处理腔室的气体输送系统 Download PDFInfo
- Publication number
- CN111066132B CN111066132B CN201880045796.9A CN201880045796A CN111066132B CN 111066132 B CN111066132 B CN 111066132B CN 201880045796 A CN201880045796 A CN 201880045796A CN 111066132 B CN111066132 B CN 111066132B
- Authority
- CN
- China
- Prior art keywords
- chamber
- gas
- pressure
- substrate
- delivery system
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000009931 pascalization Methods 0.000 title claims abstract description 16
- 238000012546 transfer Methods 0.000 claims abstract description 72
- 238000012545 processing Methods 0.000 claims abstract description 60
- 239000007789 gas Substances 0.000 claims description 122
- 239000000758 substrate Substances 0.000 claims description 100
- 239000001257 hydrogen Substances 0.000 claims description 8
- 229910052739 hydrogen Inorganic materials 0.000 claims description 8
- 239000000112 cooling gas Substances 0.000 claims description 7
- 238000001816 cooling Methods 0.000 claims description 5
- 150000002431 hydrogen Chemical class 0.000 claims description 5
- 238000004519 manufacturing process Methods 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 3
- 239000004065 semiconductor Substances 0.000 claims description 3
- 238000000034 method Methods 0.000 description 37
- 230000008569 process Effects 0.000 description 35
- 239000000463 material Substances 0.000 description 25
- 238000000137 annealing Methods 0.000 description 19
- 235000012431 wafers Nutrition 0.000 description 17
- 238000000151 deposition Methods 0.000 description 16
- 230000008021 deposition Effects 0.000 description 14
- 238000002955 isolation Methods 0.000 description 13
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 238000010438 heat treatment Methods 0.000 description 6
- 229910021332 silicide Inorganic materials 0.000 description 6
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 6
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 5
- 229910052802 copper Inorganic materials 0.000 description 5
- 239000010949 copper Substances 0.000 description 5
- 230000007547 defect Effects 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 238000004377 microelectronic Methods 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- 229910000531 Co alloy Inorganic materials 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 229910001080 W alloy Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910000640 Fe alloy Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- QXZUUHYBWMWJHK-UHFFFAOYSA-N [Co].[Ni] Chemical compound [Co].[Ni] QXZUUHYBWMWJHK-UHFFFAOYSA-N 0.000 description 1
- YCOASTWZYJGKEK-UHFFFAOYSA-N [Co].[Ni].[W] Chemical compound [Co].[Ni].[W] YCOASTWZYJGKEK-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- JPNWDVUTVSTKMV-UHFFFAOYSA-N cobalt tungsten Chemical compound [Co].[W] JPNWDVUTVSTKMV-UHFFFAOYSA-N 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- UGKDIUIOSMUOAW-UHFFFAOYSA-N iron nickel Chemical compound [Fe].[Ni] UGKDIUIOSMUOAW-UHFFFAOYSA-N 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C21—METALLURGY OF IRON
- C21D—MODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
- C21D1/00—General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering
- C21D1/74—Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material
- C21D1/773—Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material under reduced pressure or vacuum
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Thermal Sciences (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Robotics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
一种高压处理系统,其包括第一腔室、第二腔室、前级管线、真空处理系统、阀组件、气体输送系统、排气线与容纳壳,该第二腔室与该第一腔室相邻,该前级管线将气体自该第二腔室中移除,该真空处理系统经配置降低该第二腔室内的压力,该阀组件将该第一腔室内的压力与该第二腔室内的压力隔离,该气体输送系统经配置将气体引入该第一腔室中并将该第一腔室内的压力增加到至少10大气压,该排气线将气体自该第一腔室中移除,该容纳壳围绕该气体输送系统的一部分和该排气线,以将从该气体输送系统的该部分和该排气线泄漏的气体转移到该前级管线。
Description
技术领域
本发明关于一种高压处理腔室,如用于在集成电路制造期间的退火、沉积或蚀刻处理的高压处理腔室。
背景技术
微电子电路和其他微尺度器件通常由基板或晶圆制造,如硅或其他半导体材料晶圆。将多个金属层施加到基板上以形成微电子或其他微尺度部件或提供电连接。将这些金属层(如铜)镀在基板上,以及按照光刻、电镀(plating)、蚀刻、抛光或其他步骤的顺序形成部件和互连。
为了实现所需的材料性质,通常对基板进行退火处理,其中基板通常被快速加热到约200-500℃。基板可以在这些温度下保持较短的时间,如60-300秒。随后快速冷却基板,整个处理通常只需几分钟。退火可用于改变基板上的层的材料性质。其亦可用于活化掺杂剂,驱动基板上的膜之间的掺杂剂,改变膜与膜或膜与基板的界面,使沉积的膜致密化,或者修复离子注入造成的损坏。
随着微电子器件和互连件的特征尺寸变小,可允许的缺陷率显著降低。一些缺陷是由污染物颗粒引起的。其他缺陷可能由晶圆的某些区域的不完全处理引起,例如没有在沟槽底部生长膜。
已经在过去使用过各种退火腔室。在单晶圆处理设备中,这些退火腔室通常将基板定位在加热与冷却组件之间或之上,以控制基板的温度分布。然而,达到精确和可重复的温度分布以及可接受程度的缺陷可能带来工程上的挑战。
发明内容
在一个态样中,用于处理基板上的层的高压处理系统包括第一腔室、第二腔室、前级管线、真空处理系统、阀组件、气体输送系统、控制器、排气系统与容纳壳(containmentenclosure),第二腔室与该第一腔室相邻,该前级管线将气体自该第二腔室中移除,该真空处理系统经配置将该第二腔室内的压力降低至接近真空,该阀组件在该第一腔室和该第二腔室之间以将该第一腔室内的压力与该第二腔室内的压力隔离,该气体输送系统经配置将气体引入该第一腔室中,并且在该气体在该第一腔室中且该第一腔室与该第二腔室隔离的同时将该第一腔室内的压力增加到至少10大气压,该控制器经配置操作该气体输送系统和该阀组件,该排气系统包括排气线,该排气线将气体自该第一腔室中移除,该容纳壳围绕该气体输送系统的一部分和该排气线,该容纳壳经配置将从该气体输送系统的该部分和该排气线泄漏的气体转移到该前级管线。
实施方式可包括以下特征中的一个或多个。
容纳壳可经配置以防止泄漏的气体泄漏到大气中。前级管线可连接到干式线泵和扩散器,该扩散器经配置在气体到达干式线泵之前降低气体的压力。
第一泵可经配置在将气体输送到第一腔室之前将气体的压力增加到至少10大气压,如至少40大气压。容纳壳可围绕第一泵与输送管线,输送管线连接第一泵和第一腔室。
第二气体输送系统可经配置将第二气体引入第一腔室中,并且在第二气体在第一腔室中且第一腔室与第二腔室隔离的同时将第一腔室内的压力增加到至少10大气压。第二气体输送系统可包括第二泵,第二泵经配置在将第二气体输送到第一腔室之前将第二气体的压力增加到至少40大气压。
气体输送系统可包括蒸汽(steam)输送系统,蒸汽输送系统将蒸汽引入第一腔室。
一个或多个化学传感器(如氢传感器)可放置在容纳壳内,且其中若控制器接收来自一个或多个化学传感器的指示化学泄漏的信号,则控制器经配置关闭该第一泵。
支撑基板的基座可定位在第一腔室内。基座上的加热组件可经配置在基板被支撑在基座上时将热施加于基板以及对基板施行退火。
真空处理系统可经配置在第二腔室内产生小于1大气压的压力。
机器人臂可经配置将基板经由阀组件从第一腔室移送到第二腔室。阀组件可包括第一腔室和第二腔室之间的狭缝阀。狭缝阀可包括狭缝与臂,该狭缝穿过该第一腔室和该第二腔室之间的壁,该臂可在第一位置与第二位置之间移动,该臂在该第一位置覆盖该狭缝以在该第一腔室和该第二腔室之间形成密封,在该第二位置中,该狭缝被揭开(uncovered)且该基板可经由该狭缝阀从该第一腔室移送到该第二腔室。臂可经配置在第一位置接合界定第一腔室的壁的内表面,以压缩O形环,以形成该第一腔室与该第二腔室之间的密封。耦接到第二腔室外部的臂的一端的致动器可使臂相对于狭缝移动。气体通道可在臂的内部。气体通道的第一端可连接到冷却气体源。
升举销组件可将基板自支撑件抬起。冷却通道可在升举销组件附近输送冷气体并冷却升举销组件。
在另一态样中,半导体制造设备包括:中央真空腔室、工厂接口模块、低压处理腔室以及如上所述的高压沉积系统,中央真空腔室在其中具有机器人,该工厂接口模块耦接到该中央真空腔室,该低压处理腔室藉由第一真空阀耦接到该中央真空腔室。第二腔室藉由第二真空阀耦接到该中央真空腔室。
实施方式可包括以下优点中的一个或多个。
可以更安全地在腔室中建立高压。可以侦测到泄漏。
可以横跨基板更均匀地处理或形成层。此外,高压处理亦可以提供无法在较低压力下实现的化学反应。
一个或多个实施例的细节阐述于附图及以下的说明中。其他特征、目的和优点将由说明书、附图以及权利要求书得以彰显。
附图说明
图1是处理平台的示意图。
图2是高压系统的示意图。
图3是具有增强的安全特征的高压系统的示意图。
图4是高压沉积系统的实例的示意性侧视图。
图5是基座的示意性侧视图。
在不同附图中的相同数字编号代表相同的组件。
具体实施方式
如上所述,一些缺陷可能是由于基板的某些区域的不完全处理造成的。然而,高压处理(如退火或沉积)可以改善横跨基板的处理的一致性。特定言之,退火可以在高压环境中进行。在经由退火处理(如藉由热氧化或化学扩散到基板中并与基板反应的其他工艺)形成层的情况下,高压可以助于改善基板上材料层的表面覆盖的彻底性(thoroughness)。例如,可以减少沟槽中的层的处理形成的问题。如此一来,可以横跨基板更均匀地处理或形成层。此外,高压处理(如退火或沉积)亦可以提供无法在较低压力下实现的化学反应。
另一个问题是当某些材料(如铜)暴露于氧气时,在超过约70℃的温度下会快速氧化。若铜或其他材料氧化,则基板可能无法再使用,或者必须在进一步处理之前先移除氧化物层。这些都是对于高效率制造所不可接受的选择。因此,当基板温度超过约70℃时,设计因素是将基板与氧气隔离。由于氧气当然存在于周围空气中,因此在退火期间避免铜的氧化亦会带来工程上的挑战。如本说明书所述,基板可以在高压处理腔室与在低压(如接近真空)环境中的不同处理腔室之间传递,以避免基板的污染和氧化。
晶圆的温度均匀性是另一个重要的设计因素,因为它影响晶圆上的铜或其他材料的晶体结构。处理系统(如基座配置)可以提供晶圆的均匀加热。
另一个考虑因素是压力。非常高的压力可以改善所生产的晶圆的一致性和质量。然而,具有高压(如高于10大气压、高于15大气压或高达20大气压)的系统具有破裂和损失容纳物(containment)的高度风险。具有增强安全特征的系统有利于使用此种超高压处理。
图1绘示适用于执行物理气相沉积、化学气相沉积和/或退火处理中的至少一个实施例的整合式多腔室基板处理系统。一般而言,多腔室基板处理系统包括至少一个高压处理腔室及至少一个低压处理腔室,高压处理腔室(如能够在高于40大气压的压力下操作)用以执行高压处理(如沉积或退火),低压处理腔室(如能够在低压下操作)用以执行低压处理(如蚀刻、沉积或热处理)。在一些实施方式中,多腔室处理系统是丛集工具,其具有中央移送腔室,中央移送腔室处于低压下且可以从该中央移送腔室通往多个处理腔室。
本说明书所述的工艺和系统的一些实施例是关于用于特征限定的沉积材料层(如金属和金属硅化物阻障层)。例如,将第一金属层沉积在硅基板上并退火以形成金属硅化物层。随后在金属硅化物层上沉积第二金属层以填充该特征。形成金属硅化物层的退火工艺可以以多个退火步骤来施行。
图1是处理平台100的一个实施例的示意性顶视图,处理平台100包括两个移送腔室102、104,分别位于移送腔室102、104中的移送机器人106、108,以及设置在两个移送腔室102、104上的处理腔室110、112、114、116、118、130。第一和第二移送腔室102、104是中央真空腔室,其与相邻的处理腔室110、112、114、116、118、130界面连接。
第一移送腔室102和第二移送腔室104被透通腔室120分开,透通腔室120可包括冷却腔室或预加热腔室。当第一移送腔室102和第二移送腔室104在不同压力下操作时,亦可在基板搬运(handling)期间抽空透通腔室120或使透通腔室120通风。例如,第一移送腔室102可在约100mTorr至约5Torr之间(如约40mTorr)操作,且第二移送腔室104可在约1×10- 5Torr至约1×10-8Torr之间(如约1×10-7Torr)操作。
处理平台100由程序化控制器122操作。控制器122可以控制移送机器人106、108以在腔室之间移送工件,且可以使处理平台100的每个腔室施行个别的操作以处理基板。
第一移送腔室102与两个脱气(degas)腔室124、两个装载闸腔室128、反应性预清洗腔室118、至少一个物理气相沉积腔室110和透通腔室120耦接。预清洗腔室可以是PreClean II腔室,可从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials)商业购得。基板(未图示)透过装载闸腔室128装载到处理平台100中。例如,工厂接口模块132(若存在)将负责从人类操作员或自动基板搬运系统接收一个或多个基板(如晶圆、晶圆匣或封闭的晶圆舱)。若适用,工厂接口模块132可以打开基板匣或基板舱,并将基板移动到装载闸腔室128及将基板自装载闸腔室128中移动出来。处理腔室110、112、114、116、118、130接收来自移送腔室102、104的基板,处理基板,以及允许基板被移送回移送腔室102、104。在被装载到处理平台100中之后,基板分别在脱气腔室124和预清洗腔室118中被依序地脱气和清洗。
每个处理腔室藉由隔离阀与移送腔室102、104隔离,隔离阀允许处理腔室在与移送腔室102、104不同程度的真空下操作,并防止处理腔室中使用的任何气体被引入移送腔室中。亦使用隔离阀将装载闸腔室128与移送腔室102、104隔离。每个装载闸腔室128具有门,该门向外部环境打开,如向工厂接口模块132打开。在正常操作中,装载有基板的匣经由门从工厂接口模块132放入装载闸腔室128中,并将门关闭。随后将装载闸腔室128抽空到与移送腔室102相同的压力,并且打开装载闸腔室128和移送腔室102之间的隔离阀。移送腔室102中的机器人移动到位,并且从装载闸腔室128中移除一个基板。装载闸腔室128较佳地配备有升降机机构,以便从匣中移除一个基板,升降机移动匣中的晶圆堆栈以将另一个晶圆定位在移送平面中,使得它可以定位在机器人叶片上。
随后,移送腔室102中的移送机器人106与基板一起旋转,使得基板与处理腔室位置对准。冲洗掉处理腔室的任何有毒气体,达到与移送腔室相同的压力水平,并打开隔离阀。随后,移送机器人106将晶圆移动到处理腔室中,在处理腔室将晶圆自机器人抬起。随后,移送机器人106自处理腔室缩回,以及关闭隔离阀。随后处理腔室经历一系列操作以在晶圆上执行指定的工艺。当工艺完成后,处理腔室回到与移送腔室102相同的环境,并打开隔离阀。移送机器人106从处理腔室中移除晶圆,随后将晶圆移动到另一个处理腔室以进行另一操作或者将晶圆放回(replace)装载闸腔室128中,以待当处理完整个晶圆匣时将其从处理平台100移除。
移送机器人106、108分别包括机器人臂107、109,机器人臂107、109支撑基板并在不同的处理腔室之间移动基板。移送机器人106在脱气腔室124和预清洗腔室118之间移动基板。随后可将基板移送到长程(long throw)PVD腔室110,以用于在基板上沉积材料。
第二移送腔室104耦接到丛集的处理腔室116、112、114、130。处理腔室116、112可以是化学气相沉积(CVD)腔室,其用于根据操作者的需要沉积材料(如钨)。经PVD处理过的基板经由透通腔室120从第一移送腔室102移动到第二移送腔室104中。此后,移送机器人108在处理腔室116、112、114、130中的一个或多个之间移动基板,用于根据处理需要进行材料沉积和退火。
当然,以上所有说明仅是示例性实施方式;每个移送腔室可以刚好具有不同数量的处理腔室(如一至五个腔室),处理腔室可以具有不同的功能分配,系统可以具有不同数量的移送腔室(如仅一个移送腔室),以及可以完全省略移送腔室且系统可以仅具有一个独立的处理腔室。
图2绘示受控高压系统200,当基板正在处理腔室之间移送时,受控高压系统200产生用于处理基板的高压环境以及用于基板的低压环境。受控高压系统200包括第一高压腔室202和第二真空腔室204。第一腔室202可以对应于处理平台100的处理腔室110、112、114、116、118、130中的一个,且第二腔室204可以对应于处理平台100的移送腔室102、104中的一个。或者,在一些实施方式中,处理腔室110、112、114、116、118、130中的一个同时包括第一腔室202和第二腔室204两者。第一腔室202可以对应于内腔室,以及第二腔室204可以对应于围绕内腔室的外腔室。
可以独立于第二腔室204中的压力来控制第一腔室202内的压力。若第一和第二腔室202、204不同于移送腔室,则第一腔室202和第二腔室204可以具有独立于移送腔室内的压力而受到控制的压力。受控高压系统200进一步包括气体输送系统206、真空处理系统208和控制器210。在一些实例中,处理平台100的控制器122可以包括控制器210。
第二腔室204是与第一腔室202相邻的相对低压腔室。在一些实施方式中,第二腔室204亦围绕第一腔室202。第二腔室204可以对应于移送腔室(如移送腔室102或移送腔室104),其接收不同处理腔室之间的基板。第二腔室204的低压环境可以抑制基板或沉积在基板上的材料的污染和/或氧化。
操作气体输送系统206以对第一腔室202加压和减压。第一腔室202是高压处理腔室,其接收来自气体输送系统206的前驱物气体并且建立高压,如在至少10大气压的压力下(如高于15大气压、高于20大气压)。前驱物气体可以与工件(如工件上的层)反应,或者用作待沉积在工件上的材料的源。为了对第一腔室202加压,气体输送系统206将前驱物气体引入第一腔室202。气体输送系统206亦可以将蒸汽引入第一腔室202中以增加第一腔室202内的压力。
气体输送系统206包括排气系统211,以从第一腔室202中排出前驱物气体和蒸汽,从而使第一腔室202减压。操作真空处理系统208以将第二腔室204的压力控制在真空或接近真空的压力,如小于1mTorr。真空处理系统208将第二腔室204内的压力降低到接近真空,从而产生适当的低压环境以用于移送基板。在操作期间,在第一腔室202中实现的超高压(如高于10大气压、高于15大气压)需要在第二腔室204中的相应较高压力,该压力低于约1大气压(如约0.85大气压或640Torr)。
第一腔室202和第二腔室204之间的阀组件212将第一腔室202内的压力与第二腔室204内的压力隔离。因此,第一腔室202内的高压环境可以与第二腔室204内的低压环境分离及密封分隔开(seal)。阀组件212是可打开的,以使基板能够从第一腔室202直接移送到第二腔室204中,或者使基板能够从第二腔室204直接移送到第一腔室202中。
高压系统200包括连接到移送腔室(如移送腔室102、104中的一个)并连接到外部环境的前级管线214。隔离阀216沿前级管线214布置,以将第二腔室204内的压力与外部环境的压力隔离。可以操作隔离阀216以调节第二腔室204内的压力并释放第二腔室204内的气体。隔离阀216可以与真空处理系统208一起操作,以调节第二腔室204内的压力。隔离阀216可以与气体输送系统206和排气系统211一起操作,以增加高压系统200在多极点处的整体安全性。
图3是包括压力隔离以提高安全性的高压处理系统的示意图。参考图3,高压系统300的一个实施例包括第一腔室302和第二腔室304,如关于图1和图2所述。除非以下另有说明之外,否则第一腔室302和第二腔室304可以类似于第一腔室202和第二腔室204。
内腔室包括支撑待处理(如经受退火或在其上沉积材料层)的基板326的基座318。基座318定位或可定位在第一腔室302内。在一些实施方式中,基板326直接位于基座318的平坦顶表面上。在一些实施方式中,基板326位于从基座318突出的升举销上。
操作气体输送系统306以对第一腔室302加压和减压,第一腔室302接收来自气体输送系统306的气体并建立高压,如在高达75或80psi的压力下。为了对第一腔室302加压,气体输送系统306将气体引入第一腔室302中。从第一处理气体输送模块342供应气体以输送第一高压气体以及/或从第二处理气体输送模块346供应气体以输送与第一高压气体成分不同的第二压力气体。第一气体可以是H2;第一处理气体输送模块342是高压H2气体输送模块。第二气体可以是NH3;第一处理气体输送模块345是高压NH3气体输送模块346。气体输送系统306亦可以将高压蒸汽输送模块344供应的蒸汽引入第一腔室302中,以增加第一腔室302内的压力。输送模块342、344、346连接到供应个别气体的设施供应或气槽。输送模块342、344、346藉由第一输送管线352、第二输送管线354和第三输送管线356连接到第一腔室302。
第一高压气体输送模块342包括第一泵372,以及第二高压气体输送模块346具有第二泵376。整合到第一输送模块342中的第一泵372增加进入的第一气体(如氢气)的压力。第一气体可以以相对低的压力如25-40psi(约1.7-2.7大气压)供应到第一气体输送模块342。第一泵372可以将压力增加一个数量级,在一些情况下可以高达750psi。
类似地,整合到第二输送模块346中的第二泵376增加进入的第二气体(如氨气)的压力。可以以相对低的压力将气体供应到第二输送模块346,并且第二泵376可以增加压力,如高于10大气压、高于15大气压或高达50大气压。第一气体输送模块342和第二气体输送模块346各自具有单独的泵372、376。在一些情况下,仅需要一个泵且泵被包含在系统300中。
具有其各自泵372、376的第一气体输送模块342和第二气体输送模块346以及蒸汽输送模块344分别被容纳于单独的壳体362、366和364中。在一些实施方式中,个别的壳体可以在物理上和流体上不连接,以增强系统300的安全性。
为了进一步提高系统300的安全性,输送模块342、344、346的各者被包围(enclose)在容纳组件内。容纳组件包括至少一个容纳壳360,其包围输送管线352、354、356到腔室302、304的连接。
在一些实施方式中,容纳组件包括多个部件,每个部件是承压壳(pressure-containing enclosure),其围绕并封装相应的气体输送模块。例如,第一气体输送模块342可以被包围在第一容纳壳中,蒸汽输送模块344被包围在第二壳中,以及第二气体输送模块被包围在第三壳中。在一些情况中,容纳组件的这些物理上分开的子部件可以对应于壳体362、364、366。
或者,例如,如图3所示,输送模块342、344、346可以被共享容纳壳360包围。在此种情况下,壳体362、364、366可以是共享容纳壳360的各部分。壳体362、364、366可以耦接到容纳壳360的部分360a,其包围输送管线352、354、356到腔室302、304的连接。特定而言,输送管线352、354、356可以被包围在相应的导管352a、354a、356a中,导管352a、354a、356a分别在壳体362、364、366与部分360a之间延伸。
容纳壳360亦可以包括外腔室304,且可以流体连接到排气系统311,排气系统311从第二腔室304中排出气体和蒸汽。排气系统311包括隔离阀316和前级管线314。
容纳壳360亦可以包括容纳物(containment)排气线368。容纳物排气线368包围用于第一腔室302的排气线370,排气线370将第一腔室302连接到排气系统311。容纳物排气线368围绕排气线370且将容纳壳360连接到排气系统311。
容纳壳360内的任何承压部件的破裂(breach)可以由容纳壳360所容纳。在一些情况下,破裂出来的气体由排气线368汇集到前级管线314,在前级管线314破裂出来的气体可以安全地从系统300排出。
输送管线352、354、356中的各者本身被容纳在容纳壳360内,且每个输送管线352、354、356在容纳壳360内具有压力释放管线。由压力释放管线所释放的积聚在输送管线352、354、356内的任何压力将流入容纳壳360中并且如藉由容纳物排气线368从系统300中移除,或者在一些情况下,经由与排气系统311连接的单独的排气通道369从系统300中移除。
系统300亦包括耦接至内腔室302的压力释放管线382。若内腔室302中的压力超过允许程度,则压力释放管线382所释放的气体将流入外腔室304中并且藉由排气通道369而从系统300中移除,或者则是压力释放管线382所释放的气体将流入容纳壳360中并且藉由容纳物排气线368从系统300中移除,或者在一些情况下,经由与排气系统311连接的单独的排气通道从系统300中移除。
因此,所有承压部件可以被容纳在容纳壳360内,使得系统300可以减少意外的泄漏、断裂或破裂,而不会使加压气体暴露于大气中。
多个化学传感器380(如气体传感器)被包含在系统300中。特定而言,由于挥发性,气体传感器可以是氢传感器。气体传感器380整合到例如在容纳壳360内部的可能的泄漏位置中及排气线368内。若任何氢传感器380侦测到氢泄漏,则控制器将侦测到来自传感器380的信号并且关闭泵372、376。
在一些情况下,容纳壳360连接到前级管线314,前级管线314又连接到干式线泵378。若承压单元(如输送管线352、354、356)中的一个单元泄漏,则泄漏的气体被输送到前级管线314,以及接着气体流过干式线泵378。为了适应压力(如防止高压破坏干式线泵378),气体在到达干式线泵378之前膨胀。在某些情况下,气体流过大直径的扩散器,如20英寸×5英尺高的扩散器。
第二腔室304保持在比第一腔室302低的压力下,如在约12psi的压力下。第二腔室304中的任何泄漏的路径亦穿过干式线泵378。
为了在基板326上处理或沉积材料层,控制器可以操作真空处理系统以将第二腔室304减压到低压状态(如减压到第二腔室304具有小于1大气压压力的状态),以为了让基板326移送穿过第二腔室304做准备。低压状态可以是接近真空的状态,如小于1mTorr的压力。基板326藉由移送机器人(如图1中的移送机器人106、108中的一个)移动穿过第二腔室304,同时第二腔室304处于低压状态,使得可以抑制基板326的污染和氧化。
将基板326移送到第一腔室302中以用于处理。为了将基板326移送到第一腔室302中,控制器可以操作阀组件212,如打开阀组件212以提供开口,基板326可以穿过该开口移送到第一腔室302中。控制器可以操作移送机器人以将基板326承载到第一腔室302中并将基板326放置在基座318上。
在将基板326移送到第一腔室302中之后,控制器可以操作阀组件212以关闭开口(如关闭阀组件212),从而将第一腔室302与第二腔室304彼此隔离。在阀组件212关闭的情况下,第一腔室302和第二腔室304中的压力可以设定为不同的值。控制器可以操作气体输送系统306以将气体引入第一腔室302中以对第一腔室302加压并将材料层沉积于基板326上。引入气体可以将第一腔室302内的压力增加到例如10大气压或更高。
该气体可以是与基板326上的层反应的退火气体。或者,该气体可以包括待沉积到基板326上的材料。第一腔室302中的适当温度和压力条件可以导致材料的退火或沉积发生。在处理(如退火或沉积)期间,控制器可以操作基座318上的一个或多个加热组件320以将热加到基板326,以利于处理基板326上的材料层。
当完成基板326上的材料层的处理时,可以使用移送机器人从第一腔室302中移除基板326,且若需要,将基板326移送到后续处理腔室。为了给基板326移送出第一腔室302做准备,控制器可以操作气体输送系统306的排气系统,以在阀组件212打开之前使第一腔室302减压。特定而言,在基板326被转移出第一腔室302之前,气体从第一腔室302排出以降低第一腔室302内的压力。压力可以降低到接近真空的压力,使得可以最小化第一腔室302和第二腔室304之间的压力差。
第一腔室202和第二腔室204之间的阀组件212将第一腔室202内的压力与第二腔室204内的压力隔离。因此,第一腔室202内的高压环境可以与第二腔室204内的低压环境分离及密封分隔开。阀组件212是可打开的,使基板能够从第一腔室202直接移送到第二腔室204中,或者使基板能够从第二腔室204直接移送到第一腔室202中。
参见图4,高压沉积系统400进一部包括在第一腔室402和第二腔室404之间的阀组件416,其类似于图2的阀组件212,阀组件416将第一腔室402与第二腔室404隔离。第二腔室404可以由内壁420和外壁424之间的体积界定。另外,基板326亦可以支撑在具有加热器组件484的基座418上。基板可以直接放置在基座418上,或者放置在延伸穿过基座的升举销组件430上。
阀组件416由臂425形成,臂425可相对于第一腔室402的内壁420和基部422移动。臂425可以相对于第一腔室402的外壁420和基部422移动。特定而言,阀组件416包括第一腔室402与第二腔室404之间的狭缝阀423。狭缝阀423包括狭缝423a和臂425。狭缝423a延伸穿过第一腔室402的内壁420中的一个内壁。臂425的垂直端425a定位在第一腔室402的外部,而臂425的水平端425b定位在第一腔室402内。臂425的垂直端425a可以定位在第二腔室404内并由位于第二腔室404内的致动器所驱动。或者,臂425的垂直端425a定位在第二腔室404的外部,以及因此由亦定位在第二腔室404外部的致动器428所驱动。
臂425延伸穿过狭缝423a且可相对于壁420移动,使得臂425可以移动到与壁420形成密封的位置。致动器428耦接到臂425的垂直端425a,并相对于壁420驱动臂425的水平端425b。臂425可垂直移动以覆盖或揭开狭缝423a。特定言之,臂425的垂直端425a可以是凸缘或包括凸缘,该凸缘实质平行于内壁420的相邻内表面延伸。臂425亦可以被横向驱动,使得臂425的水平端425b可以接合或脱离(disengage)壁420。臂425亦可以延伸穿过外壁424中的孔426。
阀组件416可在开启位置和关闭位置之间移动。当阀组件416处于开启位置时,臂425的水平端425b与壁420(如壁420的内表面)横向间隔开。另外,臂425的水平端425b经垂直定位,使得狭缝423a被揭开。因此,狭缝423a提供一开口,该开口使得第一腔室402和第二腔室404之间能够流体连通,并且亦使得基板326能够如藉由如上所述的机器人移入及移出第一腔室402。当阀组件416处于关闭位置时,臂425的水平端425b覆盖狭缝423a并接触壁420中的一个壁,从而形成密封以将第一腔室402与第二腔室404隔离。当加压时,凸缘或水平端425b接触界定第一腔室402的该壁420的内表面。O形环沿接触该壁420的表面上的水平端425b的周边放置,有助于当第一腔室402加压时加强容纳物的密封。
基座418中的加热器组件484将第一腔室402中的气体加热到高达250℃。为了防止损坏O形环,臂425包括内部气体通道480。内部气体通道480由冷却气体供应482所供应,且是使冷却气体流过臂425的导管。内部气体通道480可以延伸穿过水平端425b,或者同时穿过水平端425b和垂直端425a两者。内部气体通道和冷却气体供应482可经配置使得当阀组件416处于开启位置时,没有气体能够从冷却气体供应482取得,从而当基板的移送发生时防止冷却气流。
参见图5,升举销组件430可以整合到具有加热组件的基座500中。例如,基座500可以对应于所描述的基座418中的一个。基座500包括具有升举销504的升举销组件502(如升举销组件430),升举销504至少部分地设置在板508、510中界定的开口506中。升举销504用于将基板自基座500抬起,使得移送机器人(如移送机器人106、108中的一个)可以进入并将基板移出腔室。致动器505将升举销504从第一位置驱动到第二位置,在第一位置中,升举销504陷入基座500内,在第二位置中,升举销504从基座500突出。
由于来自基座的辐射和传导,升举销组件502周围的区域将是热的,如150℃或200℃。可以有用于升举销组件502的各种冷却机构。在一个实例中,壁524可以具有气体通道520,其将冷却气体(如低于100℃的H2)带到升举销组件502的区域。
已经描述了本发明的诸多实施例。然而,将理解到,可在不背离本发明的精神和范畴下作各式修改。例如,尽管前面描述了由钴或镍层膜形成金属硅化物层,但在一些实施方式中,可以使用其他材料。例如,其他材料可以包括钛、钽、钨、钼、铂、铁、铌、钯及其组合,以及其他合金,包括镍钴合金、钴钨合金、钴镍钨合金、掺杂的钴和镍合金、或镍铁合金,以形成如本说明书所述的金属硅化物材料。
尽管以上在沉积系统的背景下进行了描述,但是根据所提供的气体,高压腔室可以用于蚀刻系统。或者,高压腔室可以填充有惰性气体,并且高压腔室可以纯粹用于在高压下的热处理。本说明书所述的处理平台可以包括其他类型的处理腔室。例如,处理平台可包括蚀刻腔室,以将图案蚀刻到基板的表面上。
处理平台的每个不同腔室可以具有变化的压力环境,范围从近真空到超过50大气压。腔室之间的隔离阀(如真空阀)可以把压力彼此隔离,使得可以在每个腔室内维持不同的压力环境。
因此,其他实施例在以下权利要求的范畴内。
Claims (15)
1.一种用于处理基板上的层的高压处理系统,所述系统包括:
第一腔室;
支撑件,所述支撑件用于将所述基板固持在所述第一腔室中;
第二腔室,所述第二腔室与所述第一腔室相邻;
前级管线,所述前级管线将气体自所述第二腔室中移除;
真空处理系统,所述真空处理系统经配置将所述第二腔室内的压力降低至接近真空;
阀组件,所述阀组件在所述第一腔室和所述第二腔室之间,以将所述第一腔室内的压力与所述第二腔室内的压力隔离;
气体输送系统,所述气体输送系统经配置将气体引入所述第一腔室中,并且在所述气体在所述第一腔室中且所述第一腔室与所述第二腔室隔离的同时将所述第一腔室内的压力增加到至少10大气压;
控制器,所述控制器经配置操作所述气体输送系统和所述阀组件;
排气系统,所述排气系统包括排气线,所述排气线将气体自所述第一腔室中移除;及
容纳壳,所述容纳壳围绕所述气体输送系统的一部分和所述排气线,所述容纳壳经配置将从所述气体输送系统的所述部分和所述排气线泄漏的气体转移到所述前级管线。
2.如权利要求1所述的系统,其中所述气体输送系统包括第一泵,所述第一泵经配置在将所述气体输送到所述第一腔室之前增加所述气体的压力。
3.如权利要求2所述的系统,其中由所述容纳壳围绕的所述气体输送系统的所述部分包括所述第一泵与输送管线,所述输送管线连接所述第一泵和所述第一腔室。
4.如权利要求1所述的系统,包括第二气体输送系统,所述第二气体输送系统经配置将第二气体引入所述第一腔室中,并且在所述第二气体在所述第一腔室中且所述第一腔室与所述第二腔室隔离的同时将所述第一腔室内的压力增加到至少10大气压。
5.如权利要求4所述的系统,其中所述第二气体输送系统包括第二泵,所述第二泵经配置在将所述第二气体输送到所述第一腔室之前将所述第二气体的压力增加到至少40大气压。
6.如权利要求1所述的系统,其中所述气体输送系统包括蒸汽输送系统,所述蒸汽输送系统将蒸汽引入所述第一腔室。
7.如权利要求5所述的系统,进一步包括氢传感器,所述氢传感器在所述容纳壳内部,其中若所述控制器接收来自所述氢传感器的指示氢泄漏的信号,则所述控制器经配置关闭所述第二泵。
8.如权利要求1所述的系统,其中所述真空处理系统经配置在所述第二腔室内产生小于1大气压的压力。
9.如权利要求1所述的系统,其中所述阀组件包括在所述第一腔室和所述第二腔室之间的狭缝阀。
10.如权利要求9所述的系统,其中所述狭缝阀包括狭缝与臂,所述狭缝穿过所述第一腔室和所述第二腔室之间的壁,所述臂可在第一位置与第二位置之间移动,所述臂在所述第一位置覆盖所述狭缝以在所述第一腔室和所述第二腔室之间形成密封,在所述第二位置中,所述狭缝被揭开且所述基板可经由所述狭缝阀从所述第一腔室移送到所述第二腔室。
11.如权利要求10所述的系统,其中所述臂经配置在所述第一位置接合界定所述第一腔室的所述壁的内表面,以压缩O形环,以形成所述第一腔室和所述第二腔室之间的所述密封。
12.如权利要求10所述的系统,进一步包括致动器,所述致动器使所述臂相对于所述狭缝移动,所述致动器耦接到所述第二腔室外部的所述臂的一端。
13.如权利要求10所述的系统,进一步包括在所述臂内部的气体通道,所述气体通道的第一端连接到冷却气体源。
14.如权利要求1所述的系统,进一步包括:
升举销组件,所述升举销组件将所述基板自所述支撑件抬起;及
冷却通道,所述冷却通道在所述升举销组件附近输送冷气体以及冷却所述升举销组件。
15.一种半导体制造设备,包括:
中央真空腔室,在其中具有机器人;
工厂接口模块,所述工厂接口模块耦接到所述中央真空腔室;
低压处理腔室,所述低压处理腔室藉由第一真空阀耦接到所述中央真空腔室;
如权利要求1所述的高压处理系统,其中所述第二腔室藉由第二真空阀耦接到所述中央真空腔室。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202311166275.8A CN117373948A (zh) | 2017-07-14 | 2018-06-14 | 用于高压处理腔室的气体输送系统 |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762532715P | 2017-07-14 | 2017-07-14 | |
US62/532,715 | 2017-07-14 | ||
US15/835,378 US10179941B1 (en) | 2017-07-14 | 2017-12-07 | Gas delivery system for high pressure processing chamber |
US15/835,378 | 2017-12-07 | ||
PCT/US2018/037539 WO2019013920A1 (en) | 2017-07-14 | 2018-06-14 | GAS DISTRIBUTION SYSTEM FOR HIGH PRESSURE TREATMENT CHAMBER |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202311166275.8A Division CN117373948A (zh) | 2017-07-14 | 2018-06-14 | 用于高压处理腔室的气体输送系统 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111066132A CN111066132A (zh) | 2020-04-24 |
CN111066132B true CN111066132B (zh) | 2023-09-29 |
Family
ID=64953616
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880045796.9A Active CN111066132B (zh) | 2017-07-14 | 2018-06-14 | 用于高压处理腔室的气体输送系统 |
CN202311166275.8A Pending CN117373948A (zh) | 2017-07-14 | 2018-06-14 | 用于高压处理腔室的气体输送系统 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202311166275.8A Pending CN117373948A (zh) | 2017-07-14 | 2018-06-14 | 用于高压处理腔室的气体输送系统 |
Country Status (8)
Country | Link |
---|---|
US (2) | US10179941B1 (zh) |
EP (2) | EP4246561A3 (zh) |
JP (3) | JP7033647B2 (zh) |
KR (3) | KR102545208B1 (zh) |
CN (2) | CN111066132B (zh) |
SG (1) | SG11201912787RA (zh) |
TW (1) | TWI724308B (zh) |
WO (1) | WO2019013920A1 (zh) |
Families Citing this family (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10535506B2 (en) | 2016-01-13 | 2020-01-14 | Mks Instruments, Inc. | Method and apparatus for deposition cleaning in a pumping line |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
CN111095513B (zh) | 2017-08-18 | 2023-10-31 | 应用材料公司 | 高压高温退火腔室 |
CN111095524B (zh) | 2017-09-12 | 2023-10-03 | 应用材料公司 | 用于使用保护阻挡物层制造半导体结构的设备和方法 |
CN117936417A (zh) | 2017-11-11 | 2024-04-26 | 微材料有限责任公司 | 用于高压处理腔室的气体输送系统 |
SG11202003438QA (en) | 2017-11-16 | 2020-05-28 | Applied Materials Inc | High pressure steam anneal processing apparatus |
WO2019099255A2 (en) | 2017-11-17 | 2019-05-23 | Applied Materials, Inc. | Condenser system for high pressure processing system |
KR102132756B1 (ko) * | 2017-12-06 | 2020-07-13 | 주식회사 엘지화학 | 이차전지 분리막 코팅용 슬러리 조성물 및 이를 이용한 이차전지 분리막 |
US11077535B2 (en) * | 2018-02-14 | 2021-08-03 | Samsung Electronics Co., Ltd. | Process system having locking pin and locking pin |
WO2019173006A1 (en) | 2018-03-09 | 2019-09-12 | Applied Materials, Inc. | High pressure annealing process for metal containing materials |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
DE102018120580A1 (de) * | 2018-08-23 | 2020-02-27 | Infineon Technologies Ag | Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck |
JP7179172B6 (ja) | 2018-10-30 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 半導体用途の構造体をエッチングするための方法 |
KR20210077779A (ko) | 2018-11-16 | 2021-06-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 강화된 확산 프로세스를 사용한 막 증착 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
JP7257813B2 (ja) * | 2019-02-21 | 2023-04-14 | 東京エレクトロン株式会社 | 水蒸気処理装置及び水蒸気処理方法 |
KR102640172B1 (ko) | 2019-07-03 | 2024-02-23 | 삼성전자주식회사 | 기판 처리 장치 및 이의 구동 방법 |
US11718912B2 (en) * | 2019-07-30 | 2023-08-08 | Applied Materials, Inc. | Methods and apparatus for calibrating concentration sensors for precursor delivery |
US11901222B2 (en) * | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
US11745229B2 (en) | 2020-08-11 | 2023-09-05 | Mks Instruments, Inc. | Endpoint detection of deposition cleaning in a pumping line and a processing chamber |
US12068135B2 (en) * | 2021-02-12 | 2024-08-20 | Applied Materials, Inc. | Fast gas exchange apparatus, system, and method |
WO2022201831A1 (ja) * | 2021-03-25 | 2022-09-29 | 株式会社Screenホールディングス | 処理液キャビネットの排気制御方法および基板処理装置 |
US11664197B2 (en) | 2021-08-02 | 2023-05-30 | Mks Instruments, Inc. | Method and apparatus for plasma generation |
KR102396669B1 (ko) * | 2021-12-20 | 2022-05-12 | 주식회사 에이치피에스피 | 반도체 챔버의 공정 전과 공정 후 잔류 가스 검출 장치 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007242791A (ja) * | 2006-03-07 | 2007-09-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
CN101620988A (zh) * | 2008-06-30 | 2010-01-06 | 佳能安内华股份有限公司 | 堆叠装载锁定室及包含其的衬底处理设备 |
CN102136407A (zh) * | 2009-12-31 | 2011-07-27 | 丽佳达普株式会社 | 基板处理装置的导引架 |
Family Cites Families (106)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4167915A (en) * | 1977-03-09 | 1979-09-18 | Atomel Corporation | High-pressure, high-temperature gaseous chemical apparatus |
JPH0743079B2 (ja) * | 1985-02-19 | 1995-05-15 | 松下電器産業株式会社 | 真空処理装置 |
US5114513A (en) | 1988-10-27 | 1992-05-19 | Omron Tateisi Electronics Co. | Optical device and manufacturing method thereof |
JP2730695B2 (ja) | 1989-04-10 | 1998-03-25 | 忠弘 大見 | タングステン膜の成膜装置 |
US5319212A (en) | 1992-10-07 | 1994-06-07 | Genus, Inc. | Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors |
JPH0714299U (ja) * | 1993-08-10 | 1995-03-10 | 日本セミコンダクター株式会社 | ガス供給配管 |
US5880041A (en) | 1994-05-27 | 1999-03-09 | Motorola Inc. | Method for forming a dielectric layer using high pressure |
JP3684624B2 (ja) * | 1995-08-02 | 2005-08-17 | ソニー株式会社 | 反応ガス供給装置 |
JPH09296267A (ja) * | 1995-11-21 | 1997-11-18 | Applied Materials Inc | 高圧押出しによる、半導体基板における金属パスの製造装置および方法 |
US5895274A (en) | 1996-01-22 | 1999-04-20 | Micron Technology, Inc. | High-pressure anneal process for integrated circuits |
KR980012044A (ko) | 1996-03-01 | 1998-04-30 | 히가시 데츠로 | 기판건조장치 및 기판건조방법 |
US5998305A (en) | 1996-03-29 | 1999-12-07 | Praxair Technology, Inc. | Removal of carbon from substrate surfaces |
US5738915A (en) | 1996-09-19 | 1998-04-14 | Lambda Technologies, Inc. | Curing polymer layers on semiconductor substrates using variable frequency microwave energy |
US6082950A (en) | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
US5963817A (en) | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
US6140235A (en) * | 1997-12-05 | 2000-10-31 | Applied Materials, Inc. | High pressure copper fill at low temperature |
US6846739B1 (en) | 1998-02-27 | 2005-01-25 | Micron Technology, Inc. | MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer |
US6719516B2 (en) | 1998-09-28 | 2004-04-13 | Applied Materials, Inc. | Single wafer load lock with internal wafer transport |
JP2001053066A (ja) | 1999-05-28 | 2001-02-23 | Tokyo Electron Ltd | オゾン処理装置およびその方法 |
KR100742473B1 (ko) | 1999-11-02 | 2007-07-25 | 동경 엘렉트론 주식회사 | 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법 |
US6500603B1 (en) | 1999-11-11 | 2002-12-31 | Mitsui Chemicals, Inc. | Method for manufacturing polymer optical waveguide |
KR100629255B1 (ko) * | 1999-11-12 | 2006-09-29 | 삼성전자주식회사 | 반도체 포토 공정용 베이크 장치 |
US6150286A (en) | 2000-01-03 | 2000-11-21 | Advanced Micro Devices, Inc. | Method of making an ultra thin silicon nitride film |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
JP2001250787A (ja) | 2000-03-06 | 2001-09-14 | Hitachi Kokusai Electric Inc | 基板処理装置および基板処理方法 |
US6331212B1 (en) * | 2000-04-17 | 2001-12-18 | Avansys, Llc | Methods and apparatus for thermally processing wafers |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US6797336B2 (en) | 2001-03-22 | 2004-09-28 | Ambp Tech Corporation | Multi-component substances and processes for preparation thereof |
US6781801B2 (en) | 2001-08-10 | 2004-08-24 | Seagate Technology Llc | Tunneling magnetoresistive sensor with spin polarized current injection |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
JP2003243374A (ja) * | 2002-02-20 | 2003-08-29 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
US7521089B2 (en) | 2002-06-13 | 2009-04-21 | Tokyo Electron Limited | Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers |
US6846380B2 (en) | 2002-06-13 | 2005-01-25 | The Boc Group, Inc. | Substrate processing apparatus and related systems and methods |
US20040060519A1 (en) | 2002-10-01 | 2004-04-01 | Seh America Inc. | Quartz to quartz seal using expanded PTFE gasket material |
US7658973B2 (en) | 2003-02-04 | 2010-02-09 | Applied Materials, Inc. | Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
JP4173781B2 (ja) | 2003-08-13 | 2008-10-29 | 株式会社神戸製鋼所 | 高圧処理方法 |
KR20050062751A (ko) * | 2003-12-22 | 2005-06-27 | 어댑티브프라즈마테크놀로지 주식회사 | 이중 도어 게이트 밸브를 가지는 챔버 장비 |
US7158221B2 (en) | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
JP2005264226A (ja) * | 2004-03-18 | 2005-09-29 | Mitsui Eng & Shipbuild Co Ltd | プラズマ処理装置 |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
KR101213390B1 (ko) * | 2005-02-22 | 2012-12-18 | 잭틱스 인코포레이티드 | 서브챔버를 가지는 에칭 챔버 |
US20060226117A1 (en) | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
US7494107B2 (en) * | 2005-03-30 | 2009-02-24 | Supercritical Systems, Inc. | Gate valve for plus-atmospheric pressure semiconductor process vessels |
WO2006132318A1 (ja) * | 2005-06-10 | 2006-12-14 | Tokyo Electron Limited | 弁体、バルブ、切替えバルブおよびトラップ装置 |
JP4747693B2 (ja) | 2005-06-28 | 2011-08-17 | 住友電気工業株式会社 | 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法 |
KR100696178B1 (ko) | 2005-09-13 | 2007-03-20 | 한국전자통신연구원 | 광 도파로 마스터 및 그 제조 방법 |
CN101268012B (zh) | 2005-10-07 | 2012-12-26 | 株式会社尼康 | 微小构造体及其制造方法 |
KR101101757B1 (ko) * | 2005-11-07 | 2012-01-05 | 주성엔지니어링(주) | 제조비용을 절감한 진공챔버 |
US7387968B2 (en) | 2005-11-08 | 2008-06-17 | Tokyo Electron Limited | Batch photoresist dry strip and ash system and process |
KR20070068596A (ko) * | 2005-12-27 | 2007-07-02 | 삼성전자주식회사 | 베이크 장치 |
KR100684910B1 (ko) * | 2006-02-02 | 2007-02-22 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그의 클리닝 방법 |
US20070187386A1 (en) | 2006-02-10 | 2007-08-16 | Poongsan Microtec Corporation | Methods and apparatuses for high pressure gas annealing |
JP2008192642A (ja) | 2007-01-31 | 2008-08-21 | Tokyo Electron Ltd | 基板処理装置 |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7776740B2 (en) | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
JP4815464B2 (ja) | 2008-03-31 | 2011-11-16 | 株式会社日立製作所 | 微細構造転写スタンパ及び微細構造転写装置 |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
KR20110000960A (ko) | 2009-06-29 | 2011-01-06 | 삼성전자주식회사 | 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법 |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
CN102714156A (zh) | 2010-01-07 | 2012-10-03 | 应用材料公司 | 自由基成分cvd的原位臭氧固化 |
JP5697534B2 (ja) | 2010-05-14 | 2015-04-08 | 株式会社半導体エネルギー研究所 | トランジスタの作製方法 |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
JP5256328B2 (ja) * | 2010-10-28 | 2013-08-07 | 株式会社日立国際電気 | 基板処理装置および半導体装置の製造方法 |
JP5806827B2 (ja) | 2011-03-18 | 2015-11-10 | 東京エレクトロン株式会社 | ゲートバルブ装置及び基板処理装置並びにその基板処理方法 |
CN103502853A (zh) | 2011-03-25 | 2014-01-08 | 李谞荣 | 光波电路及其制造方法 |
US20120252210A1 (en) | 2011-03-30 | 2012-10-04 | Tokyo Electron Limited | Method for modifying metal cap layers in semiconductor devices |
US9299581B2 (en) | 2011-05-12 | 2016-03-29 | Applied Materials, Inc. | Methods of dry stripping boron-carbon films |
GB201110117D0 (en) | 2011-06-16 | 2011-07-27 | Fujifilm Mfg Europe Bv | method and device for manufacturing a barrie layer on a flexible substrate |
JP2013122493A (ja) | 2011-12-09 | 2013-06-20 | Furukawa Electric Co Ltd:The | 光分岐素子および光分岐回路 |
US20130337171A1 (en) | 2012-06-13 | 2013-12-19 | Qualcomm Mems Technologies, Inc. | N2 purged o-ring for chamber in chamber ald system |
KR101224520B1 (ko) | 2012-06-27 | 2013-01-22 | (주)이노시티 | 프로세스 챔버 |
KR20140003776A (ko) | 2012-06-28 | 2014-01-10 | 주식회사 메카로닉스 | 고 저항 산화아연 박막의 제조방법 |
US20150309073A1 (en) | 2012-07-13 | 2015-10-29 | Northwestern University | Multifunctional graphene coated scanning tips |
JP2014019912A (ja) | 2012-07-19 | 2014-02-03 | Tokyo Electron Ltd | タングステン膜の成膜方法 |
KR101680152B1 (ko) | 2012-08-24 | 2016-11-28 | 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 | 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법 |
WO2014130304A1 (en) | 2013-02-19 | 2014-08-28 | Applied Materials, Inc. | Hdd patterning using flowable cvd film |
KR101443792B1 (ko) | 2013-02-20 | 2014-09-26 | 국제엘렉트릭코리아 주식회사 | 건식 기상 식각 장치 |
US9680095B2 (en) | 2013-03-13 | 2017-06-13 | Macronix International Co., Ltd. | Resistive RAM and fabrication method |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10224258B2 (en) | 2013-03-22 | 2019-03-05 | Applied Materials, Inc. | Method of curing thermoplastics with microwave energy |
JP6068633B2 (ja) | 2013-05-31 | 2017-01-25 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び炉口蓋体 |
WO2015023404A1 (en) | 2013-08-16 | 2015-02-19 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (wf6) etchback |
JP6226648B2 (ja) | 2013-09-04 | 2017-11-08 | 昭和電工株式会社 | SiCエピタキシャルウェハの製造方法 |
KR20150062545A (ko) * | 2013-11-29 | 2015-06-08 | 삼성전기주식회사 | 베이크 장치 |
US9257527B2 (en) | 2014-02-14 | 2016-02-09 | International Business Machines Corporation | Nanowire transistor structures with merged source/drain regions using auxiliary pillars |
US9818603B2 (en) | 2014-03-06 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
KR101571715B1 (ko) | 2014-04-23 | 2015-11-25 | 주식회사 풍산 | 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법 |
US9257314B1 (en) * | 2014-07-31 | 2016-02-09 | Poongsan Corporation | Methods and apparatuses for deuterium recovery |
WO2016038664A1 (ja) | 2014-09-08 | 2016-03-17 | 三菱電機株式会社 | 半導体アニール装置 |
US20160118391A1 (en) | 2014-10-22 | 2016-04-28 | SanDisk Technologies, Inc. | Deuterium anneal of semiconductor channels in a three-dimensional memory structure |
WO2016130956A1 (en) | 2015-02-13 | 2016-08-18 | Alexander Otto | Multifilament superconducting wire with high resistance sleeves |
KR101681190B1 (ko) * | 2015-05-15 | 2016-12-02 | 세메스 주식회사 | 기판 건조 장치 및 방법 |
US10945313B2 (en) | 2015-05-27 | 2021-03-09 | Applied Materials, Inc. | Methods and apparatus for a microwave batch curing process |
US9646850B2 (en) | 2015-07-06 | 2017-05-09 | Globalfoundries Inc. | High-pressure anneal |
US9484406B1 (en) | 2015-09-03 | 2016-11-01 | Applied Materials, Inc. | Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications |
US9716142B2 (en) | 2015-10-12 | 2017-07-25 | International Business Machines Corporation | Stacked nanowires |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
CN108475695B (zh) | 2016-01-05 | 2021-10-15 | 应用材料公司 | 制造用于半导体应用的环绕式水平栅极器件的纳米线的方法 |
US9570551B1 (en) | 2016-02-05 | 2017-02-14 | International Business Machines Corporation | Replacement III-V or germanium nanowires by unilateral confined epitaxial growth |
US9876019B1 (en) | 2016-07-13 | 2018-01-23 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits with programmable memory and methods for producing the same |
-
2017
- 2017-12-07 US US15/835,378 patent/US10179941B1/en active Active
-
2018
- 2018-06-14 EP EP23185266.6A patent/EP4246561A3/en active Pending
- 2018-06-14 SG SG11201912787RA patent/SG11201912787RA/en unknown
- 2018-06-14 KR KR1020227023466A patent/KR102545208B1/ko active IP Right Grant
- 2018-06-14 KR KR1020207004396A patent/KR102310838B1/ko active IP Right Grant
- 2018-06-14 CN CN201880045796.9A patent/CN111066132B/zh active Active
- 2018-06-14 KR KR1020217031756A patent/KR102420059B1/ko active Application Filing
- 2018-06-14 JP JP2020500629A patent/JP7033647B2/ja active Active
- 2018-06-14 CN CN202311166275.8A patent/CN117373948A/zh active Pending
- 2018-06-14 EP EP18831823.2A patent/EP3652778B1/en active Active
- 2018-06-14 WO PCT/US2018/037539 patent/WO2019013920A1/en unknown
- 2018-06-21 TW TW107121254A patent/TWI724308B/zh active
- 2018-12-21 US US16/230,637 patent/US20190119769A1/en active Pending
-
2022
- 2022-02-25 JP JP2022027555A patent/JP7368519B2/ja active Active
-
2023
- 2023-10-12 JP JP2023176397A patent/JP2024009941A/ja active Pending
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007242791A (ja) * | 2006-03-07 | 2007-09-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
CN101620988A (zh) * | 2008-06-30 | 2010-01-06 | 佳能安内华股份有限公司 | 堆叠装载锁定室及包含其的衬底处理设备 |
CN102136407A (zh) * | 2009-12-31 | 2011-07-27 | 丽佳达普株式会社 | 基板处理装置的导引架 |
Also Published As
Publication number | Publication date |
---|---|
WO2019013920A1 (en) | 2019-01-17 |
EP3652778B1 (en) | 2023-08-09 |
US20190017131A1 (en) | 2019-01-17 |
TWI724308B (zh) | 2021-04-11 |
US10179941B1 (en) | 2019-01-15 |
KR102310838B1 (ko) | 2021-10-12 |
KR102545208B1 (ko) | 2023-06-20 |
EP3652778A1 (en) | 2020-05-20 |
TW201909313A (zh) | 2019-03-01 |
KR102420059B1 (ko) | 2022-07-13 |
EP4246561A3 (en) | 2023-10-25 |
KR20200019775A (ko) | 2020-02-24 |
CN111066132A (zh) | 2020-04-24 |
KR20220100737A (ko) | 2022-07-15 |
JP2020526925A (ja) | 2020-08-31 |
CN117373948A (zh) | 2024-01-09 |
SG11201912787RA (en) | 2020-01-30 |
EP4246561A2 (en) | 2023-09-20 |
EP3652778A4 (en) | 2021-04-21 |
JP2024009941A (ja) | 2024-01-23 |
JP7033647B2 (ja) | 2022-03-10 |
KR20210123424A (ko) | 2021-10-13 |
JP7368519B2 (ja) | 2023-10-24 |
US20190119769A1 (en) | 2019-04-25 |
JP2022084631A (ja) | 2022-06-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111066132B (zh) | 用于高压处理腔室的气体输送系统 | |
US11756803B2 (en) | Gas delivery system for high pressure processing chamber | |
JP2023089011A (ja) | 高圧ウエハ処理システム及び関連方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |