TW201909313A - 用於高壓處理腔室的氣體輸送系統 - Google Patents

用於高壓處理腔室的氣體輸送系統 Download PDF

Info

Publication number
TW201909313A
TW201909313A TW107121254A TW107121254A TW201909313A TW 201909313 A TW201909313 A TW 201909313A TW 107121254 A TW107121254 A TW 107121254A TW 107121254 A TW107121254 A TW 107121254A TW 201909313 A TW201909313 A TW 201909313A
Authority
TW
Taiwan
Prior art keywords
chamber
gas
pressure
substrate
processing
Prior art date
Application number
TW107121254A
Other languages
English (en)
Other versions
TWI724308B (zh
Inventor
艾德柏 坎
奇偉 梁
蘇坦 馬立克
基斯達宣 王
史林尼法斯D 奈馬尼
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW201909313A publication Critical patent/TW201909313A/zh
Application granted granted Critical
Publication of TWI724308B publication Critical patent/TWI724308B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C21METALLURGY OF IRON
    • C21DMODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
    • C21D1/00General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering
    • C21D1/74Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material
    • C21D1/773Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material under reduced pressure or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種高壓處理系統,其包括第一腔室、第二腔室、前級管線、真空處理系統、閥組件、氣體輸送系統、排氣線與容納殼,該第二腔室與該第一腔室相鄰,該前級管線將氣體自該第二腔室中移除,該真空處理系統經配置降低該第二腔室內的壓力,該閥組件將該第一腔室內的壓力與該第二腔室內的壓力隔離,該氣體輸送系統經配置將氣體引入該第一腔室中並將該第一腔室內的該壓力增加到至少10大氣壓,該排氣線將氣體自該第一腔室中移除,該容納殼圍繞該氣體輸送系統的一部分和該排氣線,該容納殼將從該氣體輸送系統的該部分和該排氣線洩漏的氣體轉移到該前級管線。

Description

用於高壓處理腔室的氣體輸送系統
本發明係關於一種高壓處理腔室,如用於在積體電路製造期間的退火、沉積或蝕刻製程。
微電子電路和其他微尺度元件通常由基板或晶圓製造,如矽或其他半導體材料晶圓。將多個金屬層施加到基板上以形成微電子或其他微尺度部件或提供電連接。將該等金屬層(如銅)鍍在基板上,以及按照光刻、電鍍(plating)、蝕刻、拋光或其他步驟的順序形成部件和互連。
為了實現所需的材料性質,通常對基板進行退火製程,其中基板通常被快速加熱到約200-500℃。基板可以在該等溫度下保持較短的時間,如60-300秒。隨後快速冷卻基板,整個製程通常只需幾分鐘。退火可用於改變基板上的層的材料性質。其亦可用於活化摻雜劑,驅動基板上的膜之間的摻雜劑,改變膜到膜或膜到基板的界面,使沉積的膜緻密化,或者修復離子注入造成的損壞。
隨著微電子元件和互連件的特徵尺寸變小,可允許的缺陷率顯著降低。一些缺陷是由污染物顆粒引起的。其他缺陷可能由晶圓的某些區域的不完全處理引起,例如沒有在溝槽底部生長膜。
已經在過去使用過各種退火腔室。在單晶圓處理設備中,該等退火腔室通常將基板定位在加熱與冷卻元件之間或之上,以控制基板的溫度分佈。然而,達到精確和可重複的溫度分佈以及可接受程度的缺陷可能帶來工程上的挑戰。
在一個態樣中,用於處理基板上的層之高壓處理系統包括第一腔室、第二腔室、前級管線、真空處理系統、閥組件、氣體輸送系統、控制器、排氣系統與容納殼(containment enclosure),第二腔室與該第一腔室相鄰,該前級管線將氣體自該第二腔室中移除,該真空處理系統經配置將該第二腔室內的壓力降低至接近真空,該閥組件在該第一腔室和該第二腔室之間以將該第一腔室內的壓力與該第二腔室內的壓力隔離,該氣體輸送系統經配置將氣體引入該第一腔室中並將該第一腔室內的該壓力增加到至少10大氣壓,同時該氣體在該第一腔室中且同時該第一腔室與該第二腔室隔離,該控制器經配置操作該氣體輸送系統和該閥組件,該排氣系統包括排氣線,該排氣線將氣體自該第一腔室中移除,該容納殼圍繞該氣體輸送系統的一部分和該排氣線,該容納殼經配置將從該氣體輸送系統的該部分和該排氣線洩漏的氣體轉移到該前級管線。
實施可包括以下特徵中的一個或多個。
容納殼可經配置以防止洩漏的氣體洩漏到大氣中。前級管線可連接到乾式線泵和擴散器,該擴散器經配置在氣體到達乾式線泵之前降低氣體的壓力。
第一泵可經配置在將氣體輸送到第一腔室之前將氣體的壓力增加到至少10大氣壓,如至少40大氣壓。容納殼可圍繞第一泵與輸送管線,輸送管線連接第一泵和第一腔室。
第二氣體輸送系統可經配置將第二氣體引入第一腔室中並將第一腔室內的壓力增加到至少10大氣壓,同時第二氣體在第一腔室中且同時第一腔室與第二腔室隔離。第二氣體輸送系統可包括第二泵,第二泵經配置在將第二氣體輸送到第一腔室之前將第二氣體的壓力增加到至少40大氣壓。
氣體輸送系統可包括蒸氣(steam)輸送系統,蒸氣輸送系統將蒸氣引入第一腔室。
一個或多個化學感測器(如氫感測器)可放置在容納殼內,且其中若控制器接收來自一個或多個化學感測器之指示化學洩漏的信號,則控制器經配置關閉該第一泵。
支撐基板的基座可定位在第一腔室內。基座上的加熱元件可經配置在基板被支撐在基座上時將熱施加於基板以及對基板施行退火。
真空處理系統可經配置在第二腔室內產生小於1大氣壓的壓力。
機器人臂可經配置將基板經由閥組件從第一腔室移送到第二腔室。閥組件可包括第一腔室和第二腔室之間的狹縫閥。狹縫閥可包括狹縫與臂,該狹縫穿過該第一腔室和該第二腔室之間的壁,該臂可在第一位置與第二位置之間移動,該臂在該第一位置覆蓋該狹縫以在該第一腔室和該第一腔室之間形成密封,在該第二位置中,該狹縫被揭開(uncovered)且該基板可經由該狹縫閥從該第一腔室移送到該第二腔室。臂可經配置在第一位置接合界定第一腔室之壁的內表面,以壓縮O形環,以形成該第一腔室與該第二腔室之間的密封。耦接到第二腔室外部的臂的一端的致動器可使臂相對於狹縫移動。氣體通道可在臂的內部。氣體通道可連接到在第一端上的冷卻氣體源。
升舉銷組件可將基板自支撐件抬起。冷卻通道可在升舉銷組件附近輸送冷氣體並冷卻升舉銷組件。
在另一態樣中,半導體製造設備包括:中央真空腔室、工廠介面模組、低壓處理腔室以及如上所述的高壓沉積系統,中央真空腔室在其中具有機器人,該工廠介面模組耦接到該中央真空腔室,該低壓處理腔室藉由第一真空閥耦接到該中央真空腔室。第二腔室藉由第二真空閥耦接到該中央真空腔室。
實施可包括以下優點中的一個或多個。
可以更安全地在腔室中建立高壓。可以偵測到洩漏。
可以在橫跨基板上更均勻地處理或形成層。此外,高壓處理亦可以提供無法在較低壓力下可用的化學反應。
一個或多個實施例的細節闡述於所附圖式及以下的說明中。本揭示案的其他特徵、目的和優點將由說明書、圖式以及申請專利範圍得以彰顯。
如上所述,一些缺陷可能是由於基板的某些區域的不完全處理造成的。然而,高壓處理(如退火或沉積)可以改善橫跨基板上的處理的一致性。特定言之,退火可以在高壓環境中進行。在經由退火製程(如藉由熱氧化或化學擴散到基板中並與基板反應的其他製程)形成層的情況下,高壓可以助於改善基板上材料層的表面覆蓋的徹底性(thoroughness)。例如,可以減少溝槽中的層的處理形成的問題。如此一來,可以橫跨基板上更均勻地處理或形成層。此外,高壓處理(如退火或沉積)亦可以提供無法在較低壓力下可用的化學反應。
另一個問題是當某些材料(如銅)暴露於氧氣時,在超過約70℃的溫度下會快速氧化。若銅或其他材料氧化,則基板可能無法再使用,或者必須在進一步處理之前先移除氧化物層。該等都是對於高效率製造所不可接受的選擇。因此,當基板溫度超過約70℃時,設計因素是將基板與氧氣隔離。由於氧氣當然存在於周圍空氣中,因此在退火期間避免銅的氧化亦會帶來工程上的挑戰。如本說明書所述,基板可以在高壓處理腔室與在低壓(如接近真空)環境中不同處理腔室之間傳遞,以避免基板的污染和氧化。
晶圓的溫度均勻性是另一個重要的設計因素,因為它影響晶圓上的銅或其他材料的晶體結構。處理系統(如基座配置)可以提供晶圓的均勻加熱。
另一個考慮因素是壓力。非常高的壓力可以改善所生產的晶圓的一致性和品質。然而,具有高壓(如高於10大氣壓、高於15大氣壓或高達20大氣壓)的系統具有破裂和損失容納殼(containment)的高度風險。具有增強安全特徵的系統有利於使用此種超高壓處理。
圖1圖示適用於執行實體氣相沉積、化學氣相沉積和/或退火製程中的至少一個實施例的整合式多腔室基板處理系統。一般而言,多腔室基板處理系統包括至少一個高壓處理腔室及至少一個低壓處理腔室,高壓處理腔室(如能夠在高於40大氣壓的壓力下操作)用以執行高壓處理(如沉積或退火),低壓處理腔室(如能夠在低壓下操作)用以執行低壓處理(如蝕、沉積或熱處理)。在一些實施中,多腔室處理系統是叢集工具,其具有中央移送腔室,中央移送腔室處於低壓下且可以從該中央移送腔室通往多個處理腔室。
本說明書所述的製程和系統的一些實施例係關於用於特徵限定的沉積材料層(如金屬和金屬矽化物阻障層)。例如,將第一金屬層沉積在矽基板上並退火以形成金屬矽化物層。隨後在金屬矽化物層上沉積第二金屬層以填充該特徵。形成金屬矽化物層的退火製程可以以多個退火步驟來施行。
圖1是處理平臺100的一個實施例的示意性頂視圖,處理平臺100包括兩個移送腔室102、104,分別位於移送腔室102、104中的移送機器人106、108,以及設置在兩個移送腔室102、104上的處理腔室110、112、114、116、118、130。第一和第二移送腔室102、104是中央真空腔室,其與相鄰的處理腔室110、112、114、116、118、130界面連接。
第一移送腔室102和第二移送腔室104被透通腔室120分開,透通腔室120可包括冷卻腔室或預加熱腔室。當第一移送腔室102和第二移送腔室104在不同壓力下操作時,亦可在基板搬運(handling)期間抽空透通腔室120或使透通腔室120通風。例如,第一移送腔室102可在約100mTorr至約5Torr之間(如約40mTorr)操作,且第二移送腔室104可在約1×10-5 Torr至約1×10-8 Torr之間(如約1×10-7 Torr)操作。
處理平臺100由程式化控制器122操作。控制器122可以控制移送機器人106、108以在腔室之間移送工件,且可以使處理平臺100的每個腔室施行個別的操作以處理基板。
第一移送腔室102與兩個脫氣(degas)腔室124、兩個裝載閘腔室128、反應性預清洗腔室118、至少一個物理氣相沉積腔室110和透通腔室120耦接。預清洗腔室可以是PreClean II腔室,可從加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials)商業購得。基板(未圖示)透過裝載閘腔室128裝載到處理平臺100中。例如,工廠介面模組132(若存在)將負責從人類操作員或自動基板搬運系統接收一個或多個基板(如晶圓、晶圓匣或封閉的晶圓艙)。若適用,工廠介面模組132可以打開基板匣或基板艙,並將基板移動到裝載閘腔室128及將基板自裝載閘腔室128中移動出來。處理腔室110、112、114、116、118、130接收來自移送腔室102、104的基板,處理基板,以及允許基板被移送回移送腔室102、104。在被裝載到處理平臺100中之後,基板分別在脫氣腔室124和預清洗腔室118中被依序地脫氣和清洗。
每個處理腔室藉由隔離閥與移送腔室102、104隔離,隔離閥允許處理腔室在與移送腔室102、104不同程度的真空下操作,並防止處理腔室中使用的任何氣體被引入移送腔室中。亦使用隔離閥將裝載閘腔室128與移送腔室102、104隔離。每個裝載閘腔室128具有門,該門向外部環境打開,如向工廠介面模組132打開。在正常操作中,裝載有基板的匣經由門從工廠介面模組132放入裝載閘腔室128中,並將門關閉。隨後將裝載閘腔室128抽空到與移送腔室102相同的壓力,並且打開裝載閘腔室128和移送腔室102之間的隔離閥。移送腔室102中的機器人移動到位,並且從裝載閘腔室128中移除一個基板。裝載閘腔室128較佳地配備有升降機機構,以便從匣中移除一個基板,升降機移動匣中的晶圓堆疊以將另一個晶圓定位在移送平面中,使得它可以定位在機器人葉片上。
隨後,移送腔室102中的移送機器人106與基板一起旋轉,使得基板與處理腔室位置對準。沖洗掉處理腔室的任何有毒氣體,達到與移送腔室相同的壓力水平,並打開隔離閥。隨後,移送機器人106將晶圓移動到處理腔室中,在處理腔室將晶圓自機器人抬起。隨後,移送機器人106自處理腔室收回,以及關閉隔離閥。隨後處理腔室經歷一系列操作以在晶圓上執行指定的製程。當製程完成後,處理腔室回到與移送腔室102相同的環境,並打開隔離閥。移送機器人106從處理腔室中移除晶圓,隨後將晶圓移動到另一個處理腔室以進行另一操作或者將晶圓放回(replace)裝載閘腔室128中,以待當處理完整個晶圓匣時將其從處理平臺100移除。
移送機器人106、108分別包括機器人臂107、109,機器人臂107、109支撐基板並在不同的處理腔室之間移動基板。移送機器人106在脫氣腔室124和預清洗腔室118之間移動基板。隨後可將基板移送到長程(long throw)PVD腔室110,以用於在其上沉積材料。
第二移送腔室104耦接到一叢集的處理腔室116、112、114、130。處理腔室116,112可以是化學氣相沉積(CVD)腔室,其用於根據操作者的需要沉積材料(如鎢)。經PVD處理過的基板經由透通腔室120從第一移送腔室102移動到第二移送腔室104中。此後,移送機器人108在處理腔室116、112、114、130中的一個或多個之間移動基板,用於處理所需的材料沉積和退火。
當然,以上所有說明僅是示例性實施;每個移送腔室可以剛好具有不同數量的處理腔室(如一至五個腔室),處理腔室可以具有不同的功能分配,系統可以具有不同數量的移送腔室(僅單一個移送腔室),以及可以完全省略移送腔室,以及系統可以僅具有一個獨立的處理腔室。
圖2繪示受控高壓系統200,當基板正在處理腔室之間移送時,受控高壓系統200產生用於處理基板的高壓環境以及用於基板的低壓環境。受控高壓系統200包括第一高壓腔室202和第二真空腔室204。第一腔室202可以對應於處理平臺100的處理腔室110、112、114、116、118、130中的一個,且第二腔室204可以對應於處理平臺100的移送腔室102、104中的一個。或者,在一些實施中,處理腔室110、112、114、116、118、130中的一個同時包括第一腔室202和第二腔室204兩者。第一腔室202可以對應於內腔室,以及第二腔室204可以對應於圍繞內腔室的外腔室。
可以獨立於第二腔室204中的壓力來控制第一腔室202內的壓力。若第一和第二腔室202、204不同於移送腔室,則第一腔室202和第二腔室204可以具有獨立於移送腔室內的壓力而受到控制的壓力。受控高壓系統200進一步包括氣體輸送系統206、真空處理系統208和控制器210。在一些實例中,處理平臺100的控制器122可以包括控制器210。
第二腔室204是與第一腔室202相鄰的相對低壓腔室。在一些實施中,第二腔室204亦圍繞第一腔室202。第二腔室204可以對應於移送腔室(如移送腔室102或移送腔室104),其接收不同處理腔室之間的基板。第二腔室204的低壓環境可以抑制基板或沉積在基板上的材料的污染和/或氧化。
操作氣體輸送系統206以對第一腔室202加壓和減壓。第一腔室202是高壓處理腔室,其接收來自氣體輸送系統206的前驅物氣體並且建立高壓,如在至少10大氣壓的壓力下(如高於15大氣壓、高於20大氣壓)。前驅物氣體可以與工件(如工件上的層)反應,或者用作待沉積在工件上的材料的源。為了對第一腔室202加壓,氣體輸送系統206將前驅物氣體引入第一腔室202。氣體輸送系統206亦可以將蒸氣引入第一腔室202中以增加第一腔室202內的壓力。
氣體輸送系統206包括排氣系統211,以從第一腔室202中排出前驅物氣體和蒸氣,從而使第一腔室202減壓。操作真空處理系統208以將第二腔室204的壓力控制在真空或接近真空的壓力,如小於1mTorr。真空處理系統208將第二腔室204內的壓力降低到接近真空,從而產生適當的低壓環境以用於移送基板。在操作期間,在第一腔室202中實現的超高壓(如高於10atm、高於15atm)在第二腔室204中需要相應的更高壓力(低於約1atm(如約0.85atm或640Torr)。
第一腔室202和第二腔室204之間的閥組件212將第一腔室202內的壓力與第二腔室204內的壓力隔離。因此,第一腔室202內的高壓環境可以與第二腔室204內的低壓環境分離及密封分隔開(seal)。閥組件212是可打開的,以使基板能夠從第一腔室202直接移送到第二腔室204中,或者使基板能夠從第二腔室204直接移送到第一腔室202中。
高壓系統200包括連接到移送腔室(如移送腔室102、104中的一個)的前級管線214,並連接到外部環境。隔離閥216沿前級管線214佈置,以將第二腔室204內的壓力與外部環境的壓力隔離。可以操作隔離閥216以調節第二腔室204內的壓力並釋放第二腔室204內的氣體。隔離閥216可以與真空處理系統208一起操作,以調節第二腔室204內的壓力。隔離閥216可以與氣體輸送系統206和排氣系統211一起操作,以增加高壓系統200在多極點處的整體安全性。
圖3是包括壓力隔離以提高安全性的高壓處理系統的示意圖。參考圖3,高壓系統300的一個實施例包括第一腔室302和第二腔室304,如關於圖1和圖2所述。除非以下另有說明之外,否則第一腔室302和第二腔室304可以類似於第一腔室202和第二腔室204。
內腔室包括支撐待處理(如經受退火或在其上沉積材料層)的基板326的基座318。基座318定位或可定位在第一腔室302內。在一些實施中,基板326直接位於基座318的平坦頂表面上。在一些實施中,基板326位於從基座318突出的升舉銷上。
操作氣體輸送系統306以對第一腔室302加壓和減壓,第一腔室302接收來自氣體輸送系統306的氣體並建立高壓,如在高達75或80psi的壓力下。為了對第一腔室302加壓,氣體輸送系統306將氣體引入第一腔室302中。從第一處理氣體輸送模組342供應氣體以輸送第一高壓氣體以及/或從第二處理氣體輸送模組346供應氣體以輸送與第一高壓氣體不同的第二壓力氣體。第一種氣體可以是H2 ;第一處理氣體輸送模組342是高壓H2 氣體輸送模組。第二氣體可以是NH3 ;第一處理氣體輸送模組345是高壓NH3 氣體輸送模組346。氣體輸送系統306亦可以將蒸氣引入由高壓蒸氣輸送模組344供應的第一腔室302中,以增加第一腔室302內的壓力。輸送模組342、344、346連接到供應個別氣體的設施供應或氣槽。輸送模組342、344、346藉由第一輸送管線352、第二輸送管線354和第三輸送管線356連接到第一腔室302。
第一高壓氣體輸送模組342包括第一泵372,以及第二高壓氣體輸送模組346具有第二泵376。整合到第一輸送模組342中的第一泵372增加進入的第一氣體(如氫氣)的壓力。第一氣體可以以相對低的壓力如25-40psi(約1.7-7atm)供應到第一氣體輸送模組342。第一泵372可以將壓力增加一個數量級,在一些情況下可以高達750psi。
類似地,整合到第二輸送模組346中的第二泵376增加進入的第二氣體(如氨氣)的壓力。可以以相對低的壓力將氣體供應到第二輸送模組346,並且第二泵376可以增加壓力,如高於10atm、高於15atm或高達50atm。第一氣體輸送模組342和第二氣體輸送模組346各自具有單獨的泵372、376。在一些情況下,僅需要一個泵且被包含在系統300中。
具有其各自泵372、376的第一氣體輸送模組342和第二氣體輸送模組346以及蒸氣輸送模組344分別被容納於單獨的殼體362、366和364中。在一些實施中,個別的殼體可以在物理上和流體上不連接,以增強系統300的安全性。
為了進一步提高系統300的安全性,輸送模組342、344、346的各者被包圍(enclose)在容納組件內。容納組件包括至少一個容納殼360,其包圍輸送管線352、354、356到腔室302、304的連接。
在一些實施中,容納組件包括多個零件,每個零件是承壓殼(pressure-containing enclosure),其圍繞並封裝相應的氣體輸送模組。例如,第一氣體輸送模組342可以被包圍在第一容納殼中,蒸氣輸送模組344被包圍在第二殼中,以及第二氣體輸送模組被包圍在第三殼中。在一些情況中,容納組件的該等物理上分開的子零件可以對應於殼體362、364、366。
或者,例如,如圖3所示,輸送模組342、344、346可以被共用容納殼360包圍。在此種情況下,殼體362、364、366可以是共用容納殼360的部分。殼體362、364、366可以耦接到容納殼360的部分360a,其包圍輸送管線352、354、356到腔室302、304的連接。特定而言,輸送管線352、354、356可以被包圍在相應的導管352a、354a、356a中,導管352a、354a、356a分別在殼體362、364、366與部分360a之間延伸。
容納殼360亦可以包括外腔室304,且可以流體連接到排氣系統311,排氣系統311從第二腔室304中排出氣體和蒸氣。排氣系統311包括隔離閥316和前級管線314。
容納殼360亦可以包括容納(containment)排氣線368。容納排氣線368包圍用於第一腔室302的排氣線370,排氣線370將第一腔室302連接到排氣系統311。容納排氣線368圍繞排氣線370且將容納殼360連接到排氣系統311。
容納殼360內的任何加壓部件的破裂(breach)可以由容納殼360所容納。在一些情況下,破裂出來的氣體由排氣線368匯集到前級管線314,在前級管線314破裂氣體可以安全地從系統300排出。
輸送管線352、354、356中的各者本身被容納在容納殼360內,且每個輸送管線352、354、356在容納殼360內具有壓力釋放管線。由壓力釋放管線所釋放而積聚在輸送管線352、354、356內的任何壓力將流入容納殼360中並且如藉由容納排氣線368從系統300中移除,或者在一些情況下,經由由與排氣系統311連接之單獨的排氣通道369從系統300中移除。
系統300亦包括耦接至內腔室302的壓力釋放管線382。若內腔室302中的壓力超過允許程度,則壓力釋放管線382所釋放的氣體將流入外腔室304中並且藉由排氣通道369而從系統300中移除,或者則是壓力釋放管線382所釋放的氣體將流入容納殼360中並且藉由容納排氣線368從系統300中移除,或者在一些情況下,經由與排氣系統311連接之單獨的排氣通道369從系統300中移除。
因此,所有加壓部件可以被容納在容納殼360內,使得系統300可以減少意外的洩漏、斷裂或破裂,而不會使加壓氣體暴露於大氣中。
多個化學感測器380(如氣體感測器)被包含在系統300中。特定而言,由於揮發性,氣體感測器可以是氫感測器。氣體感測器380整合到可能的洩漏位置中,例如,在容納殼360內部及內排氣線368內。若任何氫感測器380偵測到氫洩漏,則控制器將偵測到來自感測器380的信號並且關閉泵372、376。
在一些情況下,容納殼360連接到前級管線314,前級管線314又連接到乾式線泵378。若加壓單元(如輸送管線352、354、356)中的一個單元洩漏,則洩漏的氣體被輸送到前級管線314,以及接著氣體流過乾式線泵378。為了適應壓力(如防止高壓破壞乾式線泵378),氣體在到達乾式線泵378之前膨脹。在某些情況下,氣體流過大直徑的擴散器,如20英吋×5英呎高的擴散器。
第二腔室304保持在比第一腔室302低的壓力下,如在約12psi的壓力下。第二腔室304中的任何洩漏亦佈線穿過乾式線泵378。
為了在基板326上處理或沉積材料層,控制器可以操作真空處理系統以將第二腔室304減壓到低壓狀態(如減壓到第二腔室304具有小於1大氣壓壓力的狀態),以為了讓基板326移送穿過第二腔室304作準備。低壓狀態可以是接近真空的狀態,如小於1mTorr的壓力。基板326藉由移送機器人(如圖1中的移送機器人106、108中的一個)移動穿過第二腔室304,同時第二腔室304處於低壓狀態,使得可以抑制基板326的污染和氧化。
將基板326移送到第一腔室302中以用於處理。為了將基板326移送到第一腔室302中,控制器可以操作閥組件212,如打開閥組件212以提供開口,基板326可以穿過該開口移送到第一腔室302中。控制器可以操作移送機器人以將基板326承載到第一腔室302中並將基板326放置在基座318上。
在將基板326移送到第一腔室302中之後,控制器可以操作閥組件212以關閉開口(如關閉閥組件212),從而將第一腔室302與第二腔室304彼此隔離。在閥組件212關閉的情況下,第一腔室302和第二腔室304中的壓力可以設定為不同的值。控制器可以操作氣體輸送系統306以將氣體引入第一腔室302中以對第一腔室302加壓並將材料層沉積於基板326上。引入氣體可以將第一腔室302內的壓力增加到例如10大氣壓或更高。
該氣體可以是與基板326上的層反應的退火氣體。或者,該氣體可以包括待沉積到基板326上的材料。第一腔室302中的適當溫度和壓力條件可以導致材料的退火或沉積發生。在處理(如退火或沉積)期間,控制器可以操作基座318上的一個或多個加熱元件320以將熱加到基板326,以利於處理基板326上的材料層。
當完成基板326上的材料層的處理時,可以使用移送機器人從第一腔室302中移除基板326,且若需要,將基板326移送到後續處理腔室。為了將基板326移送出第一腔室302作準備,控制器可以操作氣體輸送系統306的排氣系統,以在閥組件212打開之前使第一腔室302減壓。特定而言,在基板326被轉移出第一腔室302之前,氣體從第一腔室302排出以降低第一腔室302內的壓力。壓力可以降低到接近真空的壓力,使得可以最小化第一腔室302和第二腔室304之間的壓力差。
第一腔室202和第二腔室204之間的閥組件212將第一腔室202內的壓力與第二腔室204內的壓力隔離。因此,第一腔室202內的高壓環境可以與第二腔室204內的低壓環境分離及密封分隔開。閥組件212是可打開的,使基板能夠從第一腔室202直接移送到第二腔室204中,或者使基板能夠從第二腔室204直接移送到第一腔室202中。
參見圖4,高壓沉積系統400進一部包括在第一腔室402和第二腔室404之間的閥組件416,其類似於圖2的閥組件212,閥組件416將第一腔室402與第二腔室404隔離。第二腔室404可以由內壁420和外壁424之間的體積界定。另外,基板326亦可以支撐在具有加熱器元件484的基座418上。基板可以直接放置在基座418上,或者放置在延伸穿過基座的升舉銷組件430上。
閥組件416由臂425形成,臂425可相對於第一腔室402的內壁420和基部422移動。臂425可以相對於第一腔室402的外壁420和基部422移動。特定而言,閥組件416包括第一腔室402與第二腔室404之間的狹縫閥423。狹縫閥423包括狹縫423a和臂425。狹縫423a延伸穿過第一腔室402的內壁420中的一個內壁。臂425的垂直端425a定位在第一腔室402的外部,而臂425的水平端425b定位在第一腔室402內。臂425的垂直端425a可以定位在第二腔室404內並由位於第二腔室404內的致動器所驅動。或者,臂425的垂直端425a定位在第二腔室404的外部,以及因此由亦定位在第二腔室404外部的致動器428所驅動。
臂425延伸穿過狹縫423a且可相對於壁420移動,使得臂425可以移動到與壁420形成密封的一位置。致動器428耦接到臂425的垂直端425a,並相對於壁420驅動臂425的水平端425b。臂425可垂直移動以覆蓋或揭開狹縫423a。特定言之,臂425的垂直端425a可以是凸緣或包括凸緣,該凸緣實質平行於內壁420的相鄰內表面延伸。臂425亦可以被橫向驅動,使得臂425的水平端425b可以接合或脫離(disengage)壁420。臂425亦可以延伸穿過外壁424中的孔426。
閥組件416可在開啟位置和關閉位置之間移動。當閥組件416處於開啟位置時,臂425的水平端425b與壁420(如壁420的內表面)橫向間隔開。另外,臂425的水平端425b經垂直定位,使得狹縫423a被揭開。因此,狹縫423a提供一開口,該開口使得第一腔室402和第二腔室404之間能夠流體連通,並且亦使得基板326能夠如藉由如上所述之機器人移入及移出第一腔室402。當閥組件416處於關閉位置時,臂425的水平端425b覆蓋狹縫423a並接觸壁420中的一個壁,從而形成密封以將第一腔室402與第二腔室404隔離。當加壓時,凸緣或水平端425b接觸界定第一腔室402之該壁420的內表面。O形環沿接觸該壁420的表面上之水平端425b的周邊放置,有助於當第一腔室402加壓時加強容納殼的密封。
基座418中的加熱器元件484將第一腔室402中的氣體加熱到高達250℃。為了防止損壞O形環,臂425包括內部氣體通道480。內部氣體通道480由冷卻氣體供應482所供應,且是使冷卻氣體流過臂425的導管。內部氣體通道480可以延伸穿過水平端425b,或者同時穿過水平端425b和垂直端425a兩者。內部氣體通道和冷卻氣體供應482可經配置使得當閥組件416處於開啟位置時,沒有氣體能夠從冷卻氣體供應482取得,從而當基板的移送發生時防止冷卻氣流。
參見圖5,升舉銷組件430可以整合到具有加熱元件的基座500中。例如,基座500可以對應於所描述的基座418中的一個。基座500包括具有升舉銷504的升舉銷組件502(如升舉銷組件430),升舉銷504至少部分地設置在板508、510中界定的開口506中。升舉銷504用於將基板自基座500抬起,使得移送機器人(如移送機器人106、108中的一個)可以進入並將基板移出腔室。致動器505將升舉銷504從第一位置驅動到第二位置,在第一位置中,升舉銷504陷入基座500內,在第二位置中,升舉銷504從基座500突出。
由於來自基座的輻射和傳導,升舉銷組件502周圍的區域將是熱的,如150℃或200℃。可以有用於升舉銷組件502的各種冷卻機構。在一個實例中,壁524可以具有氣體通道520,其將冷卻氣體(如低於100℃的H2 )帶到升舉銷組件502的區域。
已經描述了本發明的諸多實施例。然而,將理解到,可在不背離本發明的精神和範疇下作各式修改。例如,儘管前面描述了由鈷或鎳層膜形成金屬矽化物層,但在一些實施中,可以使用其他材料。例如,其他材料可以包括鈦、鉭、鎢、鉬、鉑、鐵、鈮、鈀及其組合,以及其他合金,包括鎳鈷合金、鈷鎢合金、鈷鎳鎢合金、摻雜的鈷和鎳合金、或鎳鐵合金,以形成如本說明書所述之金屬矽化物材料。
儘管以上在沉積系統的背景下進行了描述,但是根據所提供的氣體,高壓腔室可以用於蝕刻系統。或者,高壓腔室可以填充有惰性氣體,並且高壓腔室可以純粹用於在高壓下的熱處理。本說明書所述的處理平臺可以包括其他類型的處理腔室。例如,處理平臺可包括蝕刻腔室,以將圖案蝕刻到基板的表面上。
處理平臺的每個不同腔室可以具有變化的壓力環境,範圍從近真空到超過50大氣壓。腔室之間的隔離閥(如真空閥)可以把壓力彼此隔離,使得可以在每個腔室內維持該等變化的壓力環境。
因此,其他實施例係在以下申請專利範圍的範疇內。
100‧‧‧處理平臺
102‧‧‧移送腔室
104‧‧‧移送腔室
106‧‧‧機器人
107‧‧‧機器人臂
108‧‧‧機器人
109‧‧‧機器人臂
110‧‧‧處理腔室
112‧‧‧處理腔室
114‧‧‧處理腔室
116‧‧‧處理腔室
118‧‧‧預清洗腔室
120‧‧‧透通腔室
122‧‧‧控制器
124‧‧‧脫氣腔室
128‧‧‧裝載閘腔室
130‧‧‧處理腔室
132‧‧‧工廠介面模組
200‧‧‧高壓系統
202‧‧‧第一腔室
204‧‧‧第二腔室
206‧‧‧氣體輸送系統
208‧‧‧真空處理系統
210‧‧‧控制器
211‧‧‧排氣系統
212‧‧‧閥組件
214‧‧‧前級管線
216‧‧‧隔離閥
300‧‧‧高壓系統
302‧‧‧第一腔室
304‧‧‧第二腔室
306‧‧‧氣體輸送系統
311‧‧‧排氣系統
314‧‧‧前級管線
316‧‧‧隔離閥
318‧‧‧基座
320‧‧‧加熱元件
326‧‧‧基板
342‧‧‧第一氣體輸送模組
344‧‧‧蒸氣輸送模組
345‧‧‧第一處理氣體輸送模組
346‧‧‧第二氣體輸送模組
352‧‧‧輸送管線
352a‧‧‧導管
354‧‧‧輸送管線
354a‧‧‧導管
356‧‧‧輸送管線
356a‧‧‧導管
360‧‧‧容納殼
360a‧‧‧部分
362‧‧‧殼體
364‧‧‧殼體
366‧‧‧殼體
368‧‧‧容納排氣線
369‧‧‧排氣通道
370‧‧‧排氣線
372‧‧‧第一泵
376‧‧‧第二泵
378‧‧‧乾式線泵
380‧‧‧氣體感測器
382‧‧‧壓力釋放管線
400‧‧‧高壓沉積系統
402‧‧‧第一腔室
404‧‧‧第二腔室
416‧‧‧閥組件
418‧‧‧基座
420‧‧‧內壁
422‧‧‧基部
423‧‧‧狹縫閥
423a‧‧‧狹縫
424‧‧‧外壁
425‧‧‧臂
425a‧‧‧垂直端
425b‧‧‧水平端
426‧‧‧孔
428‧‧‧致動器
430‧‧‧升舉銷組件
480‧‧‧內部氣體通道
482‧‧‧加熱器組件
484‧‧‧冷卻氣體供應
500‧‧‧基座
502‧‧‧升舉銷組件
504‧‧‧升舉銷
505‧‧‧致動器
506‧‧‧開口
508‧‧‧板
510‧‧‧板
520‧‧‧氣體通道
524‧‧‧壁
圖1是處理平臺的示意圖。
圖2是高壓系統的示意圖。
圖3是具有增強的安全特徵的高壓系統的示意圖。
圖4是高壓沉積系統的實例的示意性側視圖。
圖5是基座的示意性側視圖。
在不同圖式中的相同數字編號代表相同的元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (15)

  1. 一種用於處理一基板上的一層之高壓處理系統,該系統包括: 一第一腔室; 一支撐件,該支撐件用於將該基板固持在該第一腔室中; 一第二腔室,該第二腔室與該第一腔室相鄰; 一前級管線,該前級管線將氣體自該第二腔室中移除; 一真空處理系統,該真空處理系統經配置將該第二腔室內的一壓力降低至接近真空; 一閥組件,該閥組件在該第一腔室和該第二腔室之間,以將該第一腔室內的該壓力與該第二腔室內的該壓力隔離; 一氣體輸送系統,該氣體輸送系統經配置將一氣體引入該第一腔室中並將該第一腔室內的該壓力增加到至少10大氣壓,同時該氣體在該第一腔室中且同時該第一腔室與該第二腔室隔離; 一控制器,該控制器經配置操作該氣體輸送系統和該閥組件; 一排氣系統,該排氣系統包括一排氣線,該排氣線將氣體自該第一腔室中移除;及 一容納殼(containment enclosure),該容納殼圍繞該氣體輸送系統的一部分和該排氣線,該容納殼經配置將從該氣體輸送系統的該部分和該排氣線洩漏的氣體轉移到該前級管線。
  2. 如請求項1所述之系統,其中該氣體輸送系統包括一第一泵,該第一泵經配置在將該氣體輸送到該第一腔室之前增加該氣體的壓力。
  3. 如請求項2所述之系統,其中由該容納殼圍繞的該氣體輸送系統的該部分包括該第一泵與輸送管線,該輸送管線連接該第一泵和該第一腔室。
  4. 如請求項1所述之系統,包括一第二氣體輸送系統,該第二氣體輸送系統經配置將一第二氣體引入該第一腔室中並將該第一腔室內的該壓力增加到至少10大氣壓,同時該第二氣體在該第一腔室中且同時該第一腔室與該第二腔室隔離。
  5. 如請求項4所述之系統,其中該第二氣體輸送系統包括一第二泵,該第二泵經配置在將該第二氣體輸送到該第一腔室之前將該第二氣體的壓力增加到至少40大氣壓。
  6. 如請求項1所述之系統,其中該氣體輸送系統包括一蒸氣輸送系統,該蒸氣輸送系統將蒸氣引入該第一腔室。
  7. 如請求項1所述之系統,進一步包括一氫感測器,該氫感測器在該容納殼內部,及其中若該控制器接收來自該氫感測器之指示一氫洩漏的一信號,則該控制器經配置關閉該第二泵。
  8. 如請求項1所述之系統,進一步包括一真空處理系統,該真空處理系統經配置在該第二腔室內產生一壓力,該壓力小於1大氣壓。
  9. 如請求項1所述之系統,其中該閥組件包括在該第一腔室和該第二腔室之間的一狹縫閥。
  10. 如請求項9所述之系統,其中該狹縫閥包括一狹縫與一臂,該狹縫穿過該第一腔室和該第二腔室之間的一壁,該臂可在一第一位置與一第二位置之間移動,該臂在該第一位置覆蓋該狹縫以在該第一腔室和該第一腔室之間形成一密封,在該第二位置中,該狹縫被揭開且該基板可經由該狹縫閥從該第一腔室移送到該第二腔室。
  11. 如請求項12所述之系統,其中該臂經配置在該第一位置接合界定該第一腔室的該壁的一內表面,以壓縮一O形環,以形成該第一腔室和該第二腔室之間的該密封。
  12. 如請求項12所述之系統,進一步包括一致動器,該致動器使該臂相對於該狹縫移動,該致動器耦接到該第二腔室外部的該臂的一端。
  13. 如請求項12所述之系統,進一步包括在該臂內部的一氣體通道,該氣體通道連接到在一第一端上的一冷卻氣體源。
  14. 如請求項1所述之系統,進一步包括: 一升舉銷組件,該升舉銷組件將該基板自該支撐件抬起;及 一冷卻通道,該冷卻通道在該升舉銷組件附近輸送冷氣體以及冷卻該升舉銷組件。
  15. 一種半導體製造設備,包括: 一中央真空腔室,在其中具有一機器人; 一工廠介面模組,該工廠介面模組耦接到該中央真空腔室; 一低壓處理腔室,該低壓處理腔室藉由一第一真空閥耦接到該中央真空腔室; 如請求項1所述之高壓沉積系統,其中該第二腔室藉由一第二真空閥耦接到該中央真空腔室。
TW107121254A 2017-07-14 2018-06-21 用於高壓處理腔室的氣體輸送系統 TWI724308B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762532715P 2017-07-14 2017-07-14
US62/532,715 2017-07-14
US15/835,378 2017-12-07
US15/835,378 US10179941B1 (en) 2017-07-14 2017-12-07 Gas delivery system for high pressure processing chamber

Publications (2)

Publication Number Publication Date
TW201909313A true TW201909313A (zh) 2019-03-01
TWI724308B TWI724308B (zh) 2021-04-11

Family

ID=64953616

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107121254A TWI724308B (zh) 2017-07-14 2018-06-21 用於高壓處理腔室的氣體輸送系統

Country Status (8)

Country Link
US (2) US10179941B1 (zh)
EP (2) EP4246561A3 (zh)
JP (3) JP7033647B2 (zh)
KR (3) KR102420059B1 (zh)
CN (2) CN117373948A (zh)
SG (1) SG11201912787RA (zh)
TW (1) TWI724308B (zh)
WO (1) WO2019013920A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR102132756B1 (ko) * 2017-12-06 2020-07-13 주식회사 엘지화학 이차전지 분리막 코팅용 슬러리 조성물 및 이를 이용한 이차전지 분리막
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11718912B2 (en) 2019-07-30 2023-08-08 Applied Materials, Inc. Methods and apparatus for calibrating concentration sensors for precursor delivery
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
WO2022201831A1 (ja) * 2021-03-25 2022-09-29 株式会社Screenホールディングス 処理液キャビネットの排気制御方法および基板処理装置
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
JPH0743079B2 (ja) * 1985-02-19 1995-05-15 松下電器産業株式会社 真空処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH0714299U (ja) * 1993-08-10 1995-03-10 日本セミコンダクター株式会社 ガス供給配管
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
JP3684624B2 (ja) * 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6140235A (en) * 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP2001053066A (ja) * 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP2003243374A (ja) * 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
KR20050062751A (ko) * 2003-12-22 2005-06-27 어댑티브프라즈마테크놀로지 주식회사 이중 도어 게이트 밸브를 가지는 챔버 장비
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
JP2005264226A (ja) * 2004-03-18 2005-09-29 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
CN101128622B (zh) * 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
CN101107467A (zh) * 2005-06-10 2008-01-16 东京毅力科创株式会社 阀芯、阀、转换阀和收集装置
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
KR101101757B1 (ko) * 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR20070068596A (ko) * 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
KR100684910B1 (ko) * 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
CN101620988A (zh) * 2008-06-30 2010-01-06 佳能安内华股份有限公司 堆叠装载锁定室及包含其的衬底处理设备
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102136407B (zh) * 2009-12-31 2013-11-06 丽佳达普株式会社 基板处理装置的导引架
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP5697534B2 (ja) * 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5256328B2 (ja) * 2010-10-28 2013-08-07 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
KR20150062545A (ko) * 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9257314B1 (en) * 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) * 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same

Also Published As

Publication number Publication date
TWI724308B (zh) 2021-04-11
KR20220100737A (ko) 2022-07-15
KR102545208B1 (ko) 2023-06-20
KR102310838B1 (ko) 2021-10-12
CN111066132B (zh) 2023-09-29
KR102420059B1 (ko) 2022-07-13
EP3652778A4 (en) 2021-04-21
JP7033647B2 (ja) 2022-03-10
WO2019013920A1 (en) 2019-01-17
US20190017131A1 (en) 2019-01-17
SG11201912787RA (en) 2020-01-30
JP2022084631A (ja) 2022-06-07
EP3652778B1 (en) 2023-08-09
KR20200019775A (ko) 2020-02-24
EP4246561A3 (en) 2023-10-25
JP7368519B2 (ja) 2023-10-24
EP3652778A1 (en) 2020-05-20
CN111066132A (zh) 2020-04-24
US20190119769A1 (en) 2019-04-25
US10179941B1 (en) 2019-01-15
KR20210123424A (ko) 2021-10-13
EP4246561A2 (en) 2023-09-20
JP2020526925A (ja) 2020-08-31
CN117373948A (zh) 2024-01-09
JP2024009941A (ja) 2024-01-23

Similar Documents

Publication Publication Date Title
TWI724308B (zh) 用於高壓處理腔室的氣體輸送系統
US11756803B2 (en) Gas delivery system for high pressure processing chamber
EP3593378B1 (en) High pressure wafer processing systems and related methods