KR102545208B1 - 고압 처리 챔버를 위한 가스 전달 시스템 - Google Patents

고압 처리 챔버를 위한 가스 전달 시스템 Download PDF

Info

Publication number
KR102545208B1
KR102545208B1 KR1020227023466A KR20227023466A KR102545208B1 KR 102545208 B1 KR102545208 B1 KR 102545208B1 KR 1020227023466 A KR1020227023466 A KR 1020227023466A KR 20227023466 A KR20227023466 A KR 20227023466A KR 102545208 B1 KR102545208 B1 KR 102545208B1
Authority
KR
South Korea
Prior art keywords
chamber
gas
substrate
pedestal
pressure
Prior art date
Application number
KR1020227023466A
Other languages
English (en)
Other versions
KR20220100737A (ko
Inventor
아디브 칸
치웨이 리앙
술탄 말릭
키스 태츤 웡
스리니바스 디. 네마니
Original Assignee
마이크로머티어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크로머티어리얼즈 엘엘씨 filed Critical 마이크로머티어리얼즈 엘엘씨
Publication of KR20220100737A publication Critical patent/KR20220100737A/ko
Application granted granted Critical
Publication of KR102545208B1 publication Critical patent/KR102545208B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C21METALLURGY OF IRON
    • C21DMODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
    • C21D1/00General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering
    • C21D1/74Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material
    • C21D1/773Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material under reduced pressure or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

고압 처리 시스템은, 제1 챔버, 제1 챔버에 인접한 제2 챔버, 제2 챔버로부터 가스를 제거하기 위한 포어라인, 제2 챔버 내의 압력을 낮추도록 구성된 진공 처리 시스템, 제1 챔버 내의 압력을 제2 챔버 내의 압력으로부터 격리시키기 위한 밸브 조립체, 가스를 제1 챔버 내에 도입하도록, 그리고 제1 챔버 내의 압력을 적어도 10 기압으로 증가시키도록 구성된 가스 전달 시스템, 제1 챔버로부터 가스를 제거하기 위한 배기 라인, 및 가스 전달 시스템의 부분 및 배기 라인으로부터 누설되는 가스를 포어라인으로 전환시키기 위해 가스 전달 시스템의 부분 및 배기 라인을 둘러싸는 격납 인클로저를 포함한다.

Description

고압 처리 챔버를 위한 가스 전달 시스템{GAS DELIVERY SYSTEM FOR HIGH PRESSURE PROCESSING CHAMBER}
본 발명은, 예를 들어, 집적 회로 제조 동안 어닐링, 증착 또는 식각 프로세스들을 위한 고압 처리 챔버에 관한 것이다.
마이크로전자 회로들 및 다른 마이크로 규모 디바이스들은 일반적으로, 기판 또는 웨이퍼, 예컨대, 규소 또는 다른 반도체 물질 웨이퍼로부터 제조된다. 마이크로전자 또는 다른 마이크로 규모 구성요소들을 형성하거나 전기적 연결들을 제공하기 위해, 다수의 금속 층들이 기판 상에 도포된다. 이러한 금속 층들, 예를 들어, 구리는 기판 상에 도금되고, 일련의 포토리소그래피, 도금, 식각, 연마, 또는 다른 단계들에서 구성요소들 및 인터커넥트들을 형성한다.
원하는 물질 속성들을 달성하기 위해, 기판은 전형적으로, 기판이 보통 약 200-500 ℃까지 신속히 가열되는 어닐링 프로세스를 겪게 된다. 기판은 비교적 짧은 시간, 예를 들어, 60-300 초 동안 이러한 온도들로 유지될 수 있다. 그 다음, 기판은 급속히 냉각되는데, 전체 프로세스는 보통 몇 분밖에 걸리지 않는다. 어닐링은 기판 상의 층들의 물질 속성들을 변경하는 데에 사용될 수 있다. 어닐링은 또한, 도펀트들을 활성화하거나, 기판 상의 막들 사이의 도펀트들을 구동하거나, 막 대 막 또는 막 대 기판 계면들을 변화시키거나, 증착된 막들을 치밀화하거나, 이온 주입으로부터의 손상을 회복하는 데에 사용될 수 있다.
마이크로전자 디바이스들 및 인터커넥트들에 대한 피쳐 크기들이 더 작아지게 됨에 따라, 허용가능한 결함률이 상당히 감소한다. 일부 결함들은 오염물질 입자들로부터 초래된다. 다른 결함들은, 웨이퍼의 특정 영역들의 불완전한 처리, 예를 들어, 트렌치의 바닥에서 막을 성장시키는 데 실패한 것으로부터 초래될 수 있다.
과거에 다양한 어닐링 챔버들이 사용되었다. 단일 웨이퍼 처리 장비에서, 이러한 어닐링 챔버들은, 기판의 온도 프로파일을 제어하기 위해, 전형적으로, 가열 및 냉각 요소들 사이에 또는 그 상에 기판을 위치시킨다. 그러나, 정밀하고 반복가능한 온도 프로파일들뿐만 아니라 결함들의 허용가능한 수준을 달성하는 것은 공학 과제들을 제시할 수 있다.
일 양상에서, 기판 상의 층을 처리하기 위한 고압 처리 시스템은, 제1 챔버, 제1 챔버에 인접한 제2 챔버, 제2 챔버로부터 가스를 제거하기 위한 포어라인, 제2 챔버 내의 압력을 거의 진공으로 낮추도록 구성된 진공 처리 시스템, 제1 챔버 내의 압력을 제2 챔버 내의 압력으로부터 격리시키기 위한, 제1 챔버와 제2 챔버 사이의 밸브 조립체, 가스를 제1 챔버 내에 도입하도록, 그리고 가스가 제1 챔버에 있고 제1 챔버가 제2 챔버로부터 격리되어 있는 동안 제1 챔버 내의 압력을 적어도 10 기압으로 증가시키도록 구성된 가스 전달 시스템, 가스 전달 시스템 및 밸브 조립체를 작동시키도록 구성된 제어기, 제1 챔버로부터 가스를 제거하기 위한 배기 라인을 포함하는 배기 시스템, 및 가스 전달 시스템의 부분 및 배기 라인을 둘러싸는 격납 인클로저 ― 격납 인클로저는 가스 전달 시스템의 부분 및 배기 라인으로부터 누설되는 가스를 포어라인으로 전환시키도록 구성됨 ― 를 포함한다.
구현들은 이하의 특징들 중 하나 이상을 포함할 수 있다.
격납 인클로저는 누설 가스가 대기로 누설되는 것을 방지하도록 구성될 수 있다. 포어라인은, 건식 라인 펌프 및 가스가 건식 라인 펌프에 도달하기 전에 가스의 압력을 감소시키도록 구성된 확산기에 연결될 수 있다.
제1 펌프는 가스를 제1 챔버에 전달하기 전에 가스의 압력을 적어도 10 기압, 예를 들어, 적어도 40 기압으로 증가시키도록 구성될 수 있다. 격납 인클로저는 제1 펌프, 및 제1 펌프와 제1 챔버를 연결하는 전달 라인들을 둘러쌀 수 있다.
제2 가스 전달 시스템은, 제2 가스를 제1 챔버 내에 도입하도록, 그리고 제2 가스가 제1 챔버에 있고 제1 챔버가 제2 챔버로부터 격리되어 있는 동안 제1 챔버 내의 압력을 적어도 10 기압으로 증가시키도록 구성될 수 있다. 제2 가스 전달 시스템은, 제2 가스를 제1 챔버에 전달하기 전에 제2 가스의 압력을 적어도 40 기압으로 증가시키도록 구성된 제2 펌프를 포함할 수 있다.
가스 전달 시스템은 수증기를 제1 챔버에 도입하는 수증기 전달 시스템을 포함할 수 있다.
하나 이상의 화학적 센서, 예를 들어, 수소 센서들이 격납 인클로저 내부에 배치될 수 있고, 제어기는, 제어기가, 화학적 누설을 나타내는 신호를 화학적 센서들 중 하나 이상으로부터 수신하면 제1 펌프를 정지시키도록 구성될 수 있다.
기판을 지지하기 위한 페디스털이 제1 챔버 내에 위치될 수 있다. 페디스털 상의 가열 요소는, 기판이 페디스털 상에 지지될 때, 기판에 열을 가하고 기판을 어닐링하도록 구성될 수 있다.
진공 처리 시스템은 제2 챔버 내에 1 기압 미만의 압력을 생성하도록 구성될 수 있다.
로봇 암은 밸브 조립체를 통해 제1 챔버로부터 제2 챔버로 기판을 이송하도록 구성될 수 있다. 밸브 조립체는 제1 챔버와 제2 챔버 사이에 슬릿 밸브를 포함할 수 있다. 슬릿 밸브는, 제1 챔버와 제2 챔버 사이의 벽을 통하는 슬릿, 및 암을 포함할 수 있고, 암은, 제1 챔버와 제2 챔버 사이에 밀봉을 형성하기 위해 암이 슬릿을 덮는 제1 위치와, 슬릿이 노출되고 기판이 슬릿 밸브를 통해 제1 챔버로부터 제2 챔버로 이송가능한 제2 위치 간에 이동가능하다. 암은, O-링을 압축하고 제1 챔버와 제2 챔버 사이에 밀봉을 형성하기 위해, 제1 위치에서, 제1 챔버를 한정하는 벽의 내측 표면과 맞물리도록 구성될 수 있다. 제2 챔버 외부의 암의 단부에 결합된 액추에이터는 암을 슬릿에 대해 이동시킬 수 있다. 가스 채널은 암 내부에 있을 수 있다. 가스 채널은 제1 단부 상의 냉각 가스 공급원에 연결될 수 있다.
리프트 핀 조립체는 기판을 지지부로부터 리프팅할 수 있다. 냉각 채널은 리프트 핀 조립체 근처에 냉각 가스를 전달하고 리프트 핀 조립체를 냉각시킬 수 있다.
다른 양상에서, 반도체 제조 장치는, 챔버 내에 위치된 로봇을 갖는 중앙 진공 챔버, 중앙 진공 챔버에 결합된 팩토리 인터페이스 모듈, 제1 진공 밸브에 의해 중앙 진공 챔버에 결합된 저압 처리 챔버, 및 위에서 논의된 고압 증착 시스템을 포함한다. 제2 챔버는 제2 진공 밸브에 의해 중앙 진공 챔버에 결합된다.
구현들은 다음의 장점들 중 하나 이상을 포함할 수 있다.
고압이 챔버에서 더 안전하게 확립될 수 있다. 누설들이 검출될 수 있다.
층은 기판에 걸쳐 더 균일하게 처리되거나 형성될 수 있다. 추가적으로, 고압 처리는 또한, 더 저압들에서는 이용가능하지 않은 화학 반응들에 대한 접근을 제공할 수 있다.
하나 이상의 실시예의 세부사항들이 이하의 설명 및 첨부 도면들에 열거된다. 다른 특징들, 목적들 및 장점들은 설명 및 도면들로부터 그리고 청구항들로부터 명백할 것이다.
도 1은 처리 플랫폼의 도면이다.
도 2는 고압 시스템의 도면이다.
도 3은 향상된 안전 특징부들을 갖는 고압 시스템의 도면이다.
도 4는 고압 증착 시스템의 예의 개략적인 측면도이다.
도 5는 페디스털의 개략적인 측면도이다.
다양한 도면들에서 유사한 참조 부호들은 유사한 요소들을 나타낸다.
위에서 언급된 바와 같이, 일부 결함들은 기판의 특정 영역들의 불완전한 처리로부터 초래될 수 있다. 그러나, 고압 처리(예를 들어, 어닐링 또는 증착)는 기판에 걸친 처리의 일관성을 개선할 수 있다. 특히, 어닐링은 고압 환경에서 발생할 수 있다. 층이, 어닐링 프로세스를 통해, 예를 들어, 화학물질이 기판 내로 확산되고 기판과 반응하는 열 산화 또는 다른 프로세스에 의해 형성되는 경우, 고압은 기판 상의 물질의 층의 표면 적용범위의 완전성을 개선하는 것을 도울 수 있다. 예를 들어, 트렌치의 층의 처리 형성의 문제들이 감소될 수 있다. 결과적으로, 층은 기판에 걸쳐 더 균일하게 처리되거나 형성될 수 있다. 추가적으로, 고압 처리(예를 들어, 어닐링 또는 증착)는 또한, 더 저압들에서는 이용가능하지 않은 화학 반응들에 대한 접근을 제공할 수 있다.
또 다른 문제는, 특정 물질들, 예컨대, 구리는 약 70 ℃ 초과의 온도들에서, 산소에 노출될 때 급속히 산화될 것이라는 점이다. 구리 또는 다른 물질이 산화되면, 기판은 더 이상 사용가능하지 않을 수 있거나, 산화물 층이, 추가 처리 전에 먼저 제거되어야만 한다. 이들은 양쪽 모두, 효율적인 제조를 위해 수용불가능한 선택사항들이다. 이에 따라, 기판 온도가 약 70 ℃ 초과일 때, 기판을 산소로부터 격리시키는 것이 하나의 설계 인자이다. 산소는 당연히 주변 공기에 존재하기 때문에, 어닐링 동안 구리의 산화를 피하는 것은 또한, 공학 과제들을 제시할 수 있다. 본원에 설명된 바와 같이, 기판은 기판의 오염 및 산화를 피하기 위해, 고압 처리 챔버와 저압, 예를 들어, 거의 진공 환경의 상이한 처리 챔버들 사이에서 이송될 수 있다.
웨이퍼의 온도 균일성은 또 다른 중요한 설계 인자인데, 이는 웨이퍼 상의 구리 또는 다른 물질들의 결정질 구조에 영향을 미치기 때문이다. 처리 시스템, 예를 들어, 페디스털 구성은 웨이퍼의 균일한 가열을 제공할 수 있다.
또 다른 고려사항은 압력이다. 매우 높은 압력들은 제조된 웨이퍼들의 품질 및 일관성을 개선할 수 있다. 그러나, 고압(예를 들어, 10 atm 초과, 15 atm 초과, 또는 최대 20 atm)을 갖는 시스템들은 침해 및 격납의 상실에 대한 위험이 높다. 향상된 안전 특징부들을 갖는 시스템은 그러한 초고압 처리의 사용에 유익하다.
도 1은 물리 기상 증착, 화학 기상 증착, 및/또는 어닐링 프로세스들 중 적어도 하나의 실시예를 수행하기에 적합한 통합 다중 챔버 기판 처리 시스템을 도시한다. 일반적으로, 다중 챔버 기판 처리 시스템은, 예를 들어, 고압 프로세스, 예컨대, 증착 또는 어닐링을 수행하기 위해 40 기압 초과의 압력들로 작동할 수 있는 적어도 하나의 고압 처리 챔버, 및, 예를 들어, 저압 프로세스, 예컨대, 식각, 증착, 또는 열 처리를 수행하기 위해 저압들로 작동할 수 있는 적어도 하나의 저압 처리 챔버를 포함한다. 일부 구현들에서, 다중 챔버 처리 시스템은, 저압이고 다수의 처리 챔버들에 접근할 수 있는 중앙 이송 챔버를 갖는 클러스터 툴이다.
본원에 설명된 프로세스들 및 시스템들의 일부 실시예들은, 피쳐 한정부들을 위해 물질의 층들, 예를 들어, 금속 및 금속 규화물 장벽들을 증착시키는 것에 관한 것이다. 예를 들어, 금속 규화물 층을 형성하기 위해, 제1 금속 층이 규소 기판 상에 증착되고 어닐링된다. 그 다음, 피쳐를 채우기 위해, 제2 금속 층이 금속 규화물 층 상에 증착된다. 금속 규화물 층을 형성하기 위한 어닐링 프로세스는 다수의 어닐링 단계들로 수행될 수 있다.
도 1은 2개의 이송 챔버들(102, 104), 이송 챔버들(102, 104) 각각에 위치된 이송 로봇들(106, 108), 및 2개의 이송 챔버들(102, 104) 상에 배치된 처리 챔버들(110, 112, 114, 116, 118, 130)을 포함하는 처리 플랫폼(100)의 일 실시예의 개략적인 상면도이다. 제1 및 제2 이송 챔버들(102, 104)은, 인접한 처리 챔버들(110, 112, 114, 116, 118, 130)과 인터페이싱하는 중앙 진공 챔버들이다.
제1 이송 챔버(102) 및 제2 이송 챔버(104)는, 냉각 또는 예열 챔버들을 포함할 수 있는 통과 챔버들(120)에 의해 분리된다. 통과 챔버들(120)은 또한, 제1 이송 챔버(102) 및 제2 이송 챔버(104)가 상이한 압력들로 작동할 때, 기판 취급 동안 펌핑 다운되거나 환기될 수 있다. 예를 들어, 제1 이송 챔버(102)는 약 100 milliTorr 내지 약 5 Torr, 예컨대, 약 40 milliTorr로 작동할 수 있고, 제2 이송 챔버(104)는 약 1×10-5 Torr 내지 약 1×10-8 Torr, 예컨대, 약 1×10-7 Torr로 작동할 수 있다.
처리 플랫폼(100)은 프로그래밍된 제어기(122)에 의해 작동된다. 제어기(122)는 작업물들을 챔버들 간에 이송하기 위해 이송 로봇들(106, 108)을 제어할 수 있고, 처리 플랫폼(100)의 챔버들 각각으로 하여금 기판을 처리하기 위해 개별 작동들을 수행하게 할 수 있다.
제1 이송 챔버(102)는 2개의 탈기 챔버들(124), 2개의 로드 록 챔버들(128), 반응성 사전 세정 챔버(118), 적어도 하나의 물리 기상 증착 챔버(110), 및 통과 챔버들(120)과 결합된다. 사전 세정 챔버는, 캘리포니아주 산타클라라의 어플라이드 머티어리얼스(Applied Materials)로부터 상업적으로 입수가능한 프리클린 II(PreClean II) 챔버일 수 있다. 기판들(도시되지 않음)은 로드 록 챔버들(128)을 통해 처리 플랫폼(100) 내로 로딩된다. 예를 들어, 팩토리 인터페이스 모듈(132)은, 존재하는 경우, 인간 조작자 또는 자동화된 기판 취급 시스템으로부터 하나 이상의 기판, 예를 들어, 웨이퍼들, 웨이퍼들의 카세트들, 또는 웨이퍼들의 봉입된 포드들을 수용하는 것을 담당할 것이다. 팩토리 인터페이스 모듈(132)은, 적용가능한 경우, 기판들의 카세트들 또는 포드들을 개방하고, 기판들을 로드 록 챔버들(128)로 그리고 그로부터 이동시킬 수 있다. 처리 챔버들(110, 112, 114, 116, 118, 130)은 이송 챔버들(102, 104)로부터 기판들을 수용하고, 기판들을 처리하고, 기판들이 이송 챔버들(102, 104) 내로 다시 이송되는 것을 허용한다. 처리 플랫폼(100) 내에 로딩된 후에, 기판들은 각각 탈기 챔버들(124) 및 사전 세정 챔버(118)에서 순차적으로 탈기되고 세정된다.
처리 챔버들 각각은, 처리 챔버들이 이송 챔버들(102, 104)과 상이한 수준의 진공으로 작동하는 것을 허용하고 처리 챔버에서 사용되는 임의의 가스들이 이송 챔버 내로 도입되는 것을 방지하는 격리 밸브에 의해 이송 챔버들(102, 104)로부터 격리된다. 로드 록 챔버들(128)은 또한, 격리 밸브들을 이용하여 이송 챔버(102, 104)로부터 격리된다. 각각의 로드 록 챔버(128)는, 외부 환경에 대해 개방되는, 예를 들어, 팩토리 인터페이스 모듈(132)에 대해 개방되는 도어를 갖는다. 정상 작동에서, 기판들이 로딩된 카세트는 팩토리 인터페이스 모듈(132)로부터 도어를 통해 로드 록 챔버(128) 내로 배치되고 도어는 폐쇄된다. 그 다음, 로드 록 챔버(128)는 이송 챔버(102)와 동일한 압력으로 배기되고, 로드 록 챔버(128)와 이송 챔버(102) 사이의 격리 밸브가 개방된다. 이송 챔버(102)의 로봇은 위치로 이동되고, 하나의 기판이 로드 록 챔버(128)로부터 제거된다. 로드 록 챔버(128)는 바람직하게 엘리베이터 메커니즘이 구비되고, 이로써, 하나의 기판이 카세트로부터 제거될 때, 엘리베이터는, 이송 평면에 있는 다른 웨이퍼가 로봇 블레이드 상에 위치될 수 있도록 당해 웨이퍼를 위치시키기 위해, 카세트에 있는 웨이퍼들의 적층체를 이동시킨다.
그 다음, 이송 챔버(102)의 이송 로봇(106)은, 기판이 처리 챔버 위치와 정렬되도록 기판과 함께 회전한다. 처리 챔버는 임의의 유독 가스들로 플러싱되어, 이송 챔버와 동일한 압력 수준이 되고, 격리 밸브가 개방된다. 그 다음, 이송 로봇(106)은 웨이퍼를 처리 챔버 내로 이동시키고 여기서 웨이퍼는 로봇으로부터 리프팅된다. 그 다음, 이송 로봇(106)은 처리 챔버로부터 후퇴되고, 격리 밸브는 폐쇄된다. 그 다음, 처리 챔버는 특정 프로세스를 웨이퍼에 대해 실행하기 위해 일련의 작동들을 거친다. 완료되면, 처리 챔버는 이송 챔버(102)와 동일한 환경으로 돌아가고, 격리 밸브가 개방된다. 이송 로봇(106)은 처리 챔버로부터 웨이퍼를 제거하고, 그 다음, 웨이퍼를 다른 작동을 위해 다른 처리 챔버로 이동시키거나, 웨이퍼들의 카세트 전체가 처리되었을 때 처리 플랫폼(100)으로부터 제거되도록 웨이퍼를 로드 록 챔버(128)에 되돌려놓는다.
이송 로봇들(106, 108)은, 상이한 처리 챔버들 사이에서 기판을 지지하고 이동시키는 로봇 암들(107, 109)을 각각 포함한다. 이송 로봇(106)은 탈기 챔버들(124)과 사전 세정 챔버(118) 간에 기판을 이동시킨다. 그 다음, 기판은 기판 상의 물질의 증착을 위해 긴 행정의 PVD 챔버(110)로 이송될 수 있다.
제2 이송 챔버(104)는 처리 챔버들(116, 112, 114, 130)의 클러스터에 결합된다. 처리 챔버들(116, 112)은, 조작자가 원하는 대로 물질들, 예컨대, 텅스텐을 증착시키기 위한 화학 기상 증착(CVD) 챔버들일 수 있다. PVD 처리된 기판들은 제1 이송 챔버(102)로부터 통과 챔버들(120)을 통해 제2 이송 챔버(104) 내로 이동된다. 그 후에, 이송 로봇(108)은 처리에 필요한 대로 물질 증착 및 어닐링을 위해 처리 챔버들(116, 112, 114, 130) 중 하나 이상의 챔버 간에 기판들을 이동시킨다.
물론, 전술한 모든 것은 단순히 예시적인 구현이고; 각각의 이송 챔버는 단지 상이한 개수의 처리 챔버들, 예를 들어, 1개 내지 5개의 챔버들을 가질 수 있으며, 처리 챔버들은 기능들의 상이한 분배를 가질 수 있으며, 시스템은 상이한 개수의 이송 챔버들, 예를 들어, 단지 단일의 이송 챔버를 가질 수 있으며, 이송 챔버들이 완전히 생략될 수 있고 시스템은 단지 단일의 독립형 처리 챔버를 가질 수 있다.
도 2는, 기판을 처리하기 위한 고압 환경 및 기판이 처리 챔버들 간에 이송되고 있을 때 기판을 위한 저압 환경을 생성하는 제어된 고압 시스템(200)을 예시한다. 제어된 고압 시스템(200)은 제1 고압 챔버(202) 및 제2 진공 챔버(204)를 포함한다. 제1 챔버(202)는 처리 플랫폼(100)의 처리 챔버들(110, 112, 114, 116, 118, 130) 중 하나에 대응할 수 있고, 제2 챔버(204)는 처리 플랫폼(100)의 이송 챔버들(102, 104) 중 하나에 대응할 수 있다. 대안적으로, 일부 구현들에서, 처리 챔버들(110, 112, 114, 116, 118, 130) 중 하나는 제1 챔버(202) 및 제2 챔버(204) 둘 모두를 포함한다. 제1 챔버(202)는 내측 챔버에 대응할 수 있고, 제2 챔버(204)는 내측 챔버를 둘러싸는 외측 챔버에 대응할 수 있다.
제1 챔버(202) 내의 압력은 제2 챔버(204) 내의 압력과는 독립적으로 제어될 수 있다. 제1 및 제2 챔버들(202, 204)이 이송 챔버들과 별개이면, 제1 및 제2 챔버들(202, 204)은 이송 챔버들 내의 압력들과는 독립적으로 제어되는 압력들을 가질 수 있다. 제어된 고압 시스템(200)은 가스 전달 시스템(206), 진공 처리 시스템(208) 및 제어기(210)를 더 포함한다. 일부 예들에서, 처리 플랫폼(100)의 제어기(122)는 제어기(210)를 포함할 수 있다.
제2 챔버(204)는 제1 챔버(202)에 인접한 비교적 저압의 챔버이다. 일부 구현들에서, 제2 챔버(204)는 또한, 제1 챔버(202)를 둘러싼다. 제2 챔버(204)는 상이한 처리 챔버들 간에 기판을 수용하는 이송 챔버, 예를 들어, 이송 챔버(102) 또는 이송 챔버(104)에 대응할 수 있다. 제2 챔버(204)의 저압 환경은 기판 또는 기판 상에 증착된 물질의 오염 및/또는 산화를 억제할 수 있다.
가스 전달 시스템(206)은 제1 챔버(202)를 가압 및 감압하도록 작동된다. 제1 챔버(202)는 가스 전달 시스템(206)으로부터 전구체 가스를 수용하고, 고압, 예를 들어, 적어도 10 기압의 압력(예를 들어, 15 atm 초과, 20 atm 초과)을 확립하는 고압 처리 챔버이다. 전구체 가스는 작업물, 예를 들어, 작업물 상의 층과 반응할 수 있거나, 작업물 상에 증착될 물질에 대한 공급원으로서 작용할 수 있다. 제1 챔버(202)를 가압하기 위해, 가스 전달 시스템(206)은 전구체 가스를 제1 챔버(202) 내에 도입한다. 가스 전달 시스템(206)은 또한, 제1 챔버(202) 내의 압력을 증가시키기 위해 제1 챔버(202) 내에 수증기를 도입할 수 있다.
가스 전달 시스템(206)은 전구체 가스 및 수증기를 제1 챔버(202)로부터 배기하여 제1 챔버(202)를 감압하기 위한 배기 시스템(211)을 포함한다. 진공 처리 시스템(208)은, 제2 챔버(204)의 압력이 진공 또는 거의 진공 압력, 예를 들어, 1 milliTorr 미만이 되도록 제어하게 작동된다. 진공 처리 시스템(208)은 제2 챔버(204) 내의 압력을 거의 진공으로 낮추어, 기판의 이송을 위한 적절한 저압 환경을 생성한다. 작동 동안, 제1 챔버(202)에서 달성되는 초고압들(예를 들어, 10 atm 초과, 15 atm 초과)은 제2 챔버(204)의 대응하는 더 높은 압력(약 1 atm 미만(예를 들어, 대략 0.85 atm 또는 640 Torr))을 필요로 한다.
제1 챔버(202)와 제2 챔버(204) 사이의 밸브 조립체(212)는 제1 챔버(202) 내의 압력을 제2 챔버(204) 내의 압력으로부터 격리시킨다. 따라서, 제1 챔버(202) 내의 고압 환경은 제2 챔버(204) 내의 저압 환경으로부터 분리되고 밀봉될 수 있다. 밸브 조립체(212)는, 기판이 제1 챔버(202)로부터 제2 챔버(204) 내로 직접 이송될 수 있게 하거나, 기판이 제2 챔버(204)로부터 제1 챔버(202) 내로 직접 이송될 수 있게 하기 위해 개방가능하다.
고압 시스템(200)은 이송 챔버, 예를 들어, 이송 챔버들(102, 104) 중 하나에 연결되고 외부 환경에 연결되는 포어라인(214)을 포함한다. 격리 밸브(216)는 제2 챔버(204) 내의 압력을 외부 환경의 압력으로부터 격리시키기 위해 포어라인(214)을 따라 배열된다. 격리 밸브(216)는 제2 챔버(204) 내의 압력을 조정하고 제2 챔버(204) 내의 가스들을 방출하도록 작동될 수 있다. 격리 밸브(216)는 제2 챔버(204) 내의 압력을 조절하기 위해 진공 처리 시스템(208)과 함께 작동될 수 있다. 격리 밸브(216)는 다중극 지점들에서의 고압 시스템(200)의 전체적인 안전성을 증가시키기 위해 가스 전달 시스템(206) 및 배기 시스템(211)과 함께 작동될 수 있다.
도 3은, 증가된 안전성을 위한 압력 격리를 포함하는 고압 처리 시스템의 개략도이다. 도 3을 참조하면, 고압 시스템(300)의 일 실시예는 도 1 및 2와 관련하여 설명된 바와 같은 제1 챔버(302) 제2 챔버(304)를 포함한다. 제1 챔버(302) 및 제2 챔버(304)는, 아래에 설명되는 바를 제외하고, 제1 챔버(202) 및 제2 챔버(204)와 유사할 수 있다.
내측 챔버는, 처리될, 예를 들어, 어닐링을 겪을, 또는 물질의 층이 상부에 증착될 기판(326)을 지지하는 페디스털(318)을 포함한다. 페디스털(318)은 제1 챔버(302) 내에 위치되거나 위치가능하다. 일부 구현들에서, 기판(326)은 페디스털(318)의 평평한 최상부 표면 상에 직접 놓인다. 일부 구현들에서, 기판(326)은 페디스털(318)로부터 돌출되는 리프트 핀들 상에 놓인다.
가스 전달 시스템(306)은, 가스 전달 시스템(306)으로부터 가스를 수용하고 고압, 예를 들어, 75 또는 80 psi까지의 압력을 확립하는 제1 챔버(302)를 가압 및 감압하도록 작동된다. 제1 챔버(302)를 가압하기 위해, 가스 전달 시스템(306)은 가스를 제1 챔버(302) 내에 도입한다. 가스는, 제1 고압 가스를 전달하기 위한 제1 처리 가스 전달 모듈(342)로부터 공급되고/거나 제1 고압 가스와 상이한 조성의 제2 압력 가스를 전달하기 위한 제2 처리 가스 전달 모듈(346)로부터 공급된다. 제1 가스는 H2일 수 있고; 제1 처리 가스 전달 모듈(342)은 고압 H2 가스 전달 모듈이다. 제2 가스는 NH3일 수 있고; 제1 처리 가스 전달 모듈(345)은 고압 NH3 가스 전달 모듈(346)이다. 가스 전달 시스템(306)은 또한, 제1 챔버(302) 내의 압력을 증가시키기 위해, 고압 수증기 전달 모듈(344)로부터 공급되는 수증기를 제1 챔버(302) 내에 도입할 수 있다. 전달 모듈들(342, 344, 346)은 각각의 가스들을 공급하는 설비 공급부들 또는 가스 탱크들에 연결된다. 전달 모듈들(342, 344, 346)은 제1 전달 라인(352), 제2 전달 라인(354), 및 제3 전달 라인(356)에 의해 제1 챔버(302)에 연결된다.
제1 고압 가스 전달 모듈(342)은 제1 펌프(372)를 포함하고, 제2 고압 가스 전달 모듈(346)은 제2 펌프(376)를 갖는다. 제1 전달 모듈(342) 내에 통합된 제1 펌프(372)는 유입되는 제1 가스, 예를 들어, 수소 가스의 압력을 증가시킨다. 제1 가스는 비교적 저압, 예를 들어, 25-40 psi(대략 1.7-2.7 atm)로 제1 가스 전달 모듈(342)에 공급될 수 있다. 제1 펌프(372)는 압력을 10배 만큼, 일부 경우들에서는 750 psi만큼 높이까지 증가시킬 수 있다.
유사하게, 제2 전달 모듈(346) 내에 통합된 제2 펌프(376)는 유입되는 제2 가스, 예를 들어, 암모니아 가스의 압력을 증가시킨다. 가스는 비교적 저압으로 제2 전달 모듈(346)에 공급될 수 있고, 제2 펌프(376)는 압력을, 예를 들어, 10 atm 초과, 15 atm 초과, 또는 50 atm만큼 높은 압력으로 증가시킬 수 있다. 제1 가스 전달 모듈(342) 및 제2 가스 전달 모듈(346) 각각은 별개의 펌프들(372, 376)을 갖는다. 일부 경우들에서는 오직 하나의 펌프만이 필요하고 시스템(300)에 포함된다.
각각의 펌프들(372, 376)뿐만 아니라 수증기 전달 모듈(344)을 갖는 제1 가스 전달 모듈(342) 및 제2 가스 전달 모듈(346)은 각각 별개의 하우징들(362, 366, 및 364)에 포함된다. 일부 구현들에서, 시스템(300)의 안전성을 향상시키기 위해 각각의 하우징들은 물리적으로도 그리고 유체적으로도 연결해제될 수 있다.
시스템(300)의 안전성을 더 증가시키기 위해, 전달 모듈(342, 344, 346) 각각은 격납 조립체 내에 봉입된다. 격납 조립체는 적어도 격납 인클로저(360)를 포함하고, 격납 인클로저는 챔버들(302, 304)로의 전달 라인들(352, 354, 356)의 연결부들을 봉입한다.
일부 구현들에서, 격납 조립체는 다수의 부분들을 포함하는데, 다수의 부분들 중 각각의 부분이, 각각의 가스 전달 모듈을 둘러싸고 캡슐화하는 압력 유지 인클로저이다. 예를 들어, 제1 가스 전달 모듈(342)은 제1 격납 인클로저에 봉입될 수 있고, 수증기 전달 모듈(344)은 제2 인클로저에 봉입될 수 있고, 제2 가스 전달 모듈은 제3 인클로저에 봉입될 수 있다. 일부 경우들에서, 격납 조립체의 이러한 물리적으로 분리된 하위 부분들은 하우징들(362, 364, 366)에 대응할 수 있다.
대안적으로, 예를 들어, 도 3에 도시된 바와 같이, 전달 모듈들(342, 344, 346)은 공통 격납 인클로저(360)에 의해 봉입될 수 있다. 이 경우, 하우징들(362, 364, 366)은 공통 격납 인클로저(360)의 부분들일 수 있다. 하우징들(362, 364, 366)은, 챔버들(302, 304)로의 전달 라인들(352, 354, 356)의 연결부들을 봉입하는 격납 인클로저(360)의 부분(360a)에 결합될 수 있다. 특히, 전달 라인들(352, 354, 356)은, 각각 하우징들(362, 364, 366)과 부분(360a) 사이에서 연장되는 각각의 도관들(352a, 354a, 356a)에 봉입될 수 있다.
격납 인클로저(360)는 또한, 외측 챔버(304)를 포함할 수 있고, 제2 챔버(304)로부터 가스 및 수증기를 배기하는 배기 시스템(311)에 유체적으로 연결될 수 있다. 배기 시스템(311)은 격리 밸브(316) 및 포어라인(314)을 포함한다.
격납 인클로저(360)는 또한, 격납 배기 라인(368)을 포함할 수 있다. 격납 배기 라인(368)은, 제1 챔버(302)를 배기 시스템(311)에 연결하는, 제1 챔버(302)에 대한 배기 라인(370)을 봉입한다. 격납 배기 라인(368)은 배기 라인(370)을 둘러싸고, 격납 인클로저(360)를 배기 시스템(311)에 연결한다.
격납 인클로저(360) 내의 가압된 구성요소들 중 임의의 구성요소에서의 침해는 격납 인클로저(360)에 의해 억제될 수 있다. 일부 경우들에서, 침해 가스들은 배기 라인(368)에 의해 포어라인(314)으로 보내지고, 여기서 침해 가스들은 시스템(300)으로부터 안전하게 배기될 수 있다.
전달 라인들(352, 354, 356) 각각은 그 자체가 격납 인클로저(360) 내에 포함되고, 각각의 전달 라인(352, 354, 356)은 격납 인클로저(360) 내에 압력 완화 라인을 갖는다. 압력 완화 라인에 의해 방출되는 전달 라인들(352, 354, 356) 내부의 임의의 압력 축적은 격납 인클로저(360) 내로 유동할 것이고, 예를 들어, 격납 배기 라인(368)에 의해, 또는 일부 경우들에서는 배기 시스템(311)에 연결된 별개의 배기 채널들(369)을 통해 시스템(300)으로부터 제거될 것이다.
시스템(300)은 또한, 내측 챔버(302)에 결합된 압력 완화 라인(382)을 포함한다. 내측 챔버(302)의 압력이 허용가능 수준들을 초과하면, 압력 완화 라인(382)에 의해 방출되는 가스는, 외측 챔버(304) 내로 유동하고 배기 채널들(369)에 의해 시스템(300)으로부터 제거되거나, 격납 인클로저(360) 내로 유동하고 격납 배기 라인(368)에 의해 또는 일부 경우들에서는 배기 시스템(311)에 연결된 별개의 배기 채널들을 통해 시스템(300)으로부터 제거될 것이다.
따라서, 모든 가압된 구성요소들은, 가압된 가스를 대기에 전혀 노출시키지 않고도 시스템(300)이, 예기치 않은 누설들, 파괴들 또는 침해들을 완화할 수 있도록, 격납 인클로저(360) 내에 포함될 수 있다.
다수의 화학적 센서들(380), 예를 들어, 가스 센서들이 시스템(300)에 포함된다. 특히, 휘발성으로 인해, 가스 센서들은 수소 센서들일 수 있다. 가스 센서(380)는 가능한 누설 위치들 내에, 예를 들어, 격납 인클로저(360) 내부, 및 배기 라인(368) 내부에 통합된다. 임의의 수소 센서(380)가 수소 누설을 검출하면, 제어기는 센서(380)로부터의 신호를 검출할 것이고, 펌프들(372, 376)을 정지시킬 것이다.
일부 경우들에서, 격납 인클로저(360)는 포어라인(314)에 연결되고, 이는 차례로, 건식 라인 펌프(378)에 연결된다. 가압된 유닛들, 예를 들어, 전달 라인들(352, 354, 356) 중 하나가 누설되는 경우, 누설 가스는 포어라인(314)에 전달되고, 그 다음, 가스는 건식 라인 펌프(378)를 통해 유동한다. 압력을 수용하기 위해(예를 들어, 고압이 건식 라인 펌프(378)를 침해하는 것을 방지하기 위해), 가스는 건식 라인 펌프(378)에 도달하기 전에 팽창된다. 일부 경우들에서, 가스는 큰 직경의 확산기, 예를 들어, 20 인치 x 5 피트 높이의 확산기를 통해 유동한다.
제2 챔버(304)는 제1 챔버(302) 보다 더 저압, 예를 들어, 대략 12 psi로 유지된다. 제2 챔버(304)의 임의의 누설들은 또한, 건식 라인 펌프(378)를 통해 보내진다.
물질의 층을 기판(326) 상에 증착시키거나 처리하기 위해, 제어기는 제2 챔버(304)를 저압 상태로, 예를 들어, 제2 챔버(304)를 통한 기판(326)의 이송을 준비하기 위해 제2 챔버(304)가 1 기압 미만의 압력을 갖는 상태로 감압하도록 진공 처리 시스템을 작동시킬 수 있다. 저압 상태는 거의 진공 상태, 예를 들어, 1 milliTorr 미만의 압력일 수 있다. 기판(326)이 이송 로봇, 예를 들어, 도 1의 이송 로봇들(106, 108) 중 하나에 의해 제2 챔버(304)를 통해 이동되는 동안, 제2 챔버(304)는 기판(326)의 오염 및 산화가 억제될 수 있도록 저압에 있다.
기판(326)은 처리를 위해 제1 챔버(302) 내로 이송된다. 기판(326)을 제1 챔버(302) 내로 이송하기 위해서, 제어기는 밸브 조립체(212)를 작동시킬 수 있는데, 예를 들어, 기판(326)이 제1 챔버(302) 내로 이송될 수 있는 개구부를 제공하기 위해 밸브 조립체(212)를 개방할 수 있다. 제어기는 기판(326)을 제1 챔버(302) 내로 운반하고 기판(326)을 페디스털(318) 상에 배치하도록 이송 로봇을 작동시킬 수 있다.
기판(326)이 제1 챔버(302) 내로 이송된 후, 제어기는 개구부를 폐쇄하도록, 예를 들어, 밸브 조립체(212)를 폐쇄하도록 밸브 조립체(212)를 작동시킬 수 있고, 이에 의해 제1 및 제2 챔버들(302, 304)을 서로 격리시킨다. 밸브 조립체(212)가 폐쇄된 상태에서, 제1 챔버(302) 및 제2 챔버(304)의 압력들은 상이한 값들로 설정될 수 있다. 제어기는, 제1 챔버(302)를 가압하고 물질의 층을 기판(326) 상에 증착시키기 위해, 가스를 제1 챔버(302) 내에 도입하도록 가스 전달 시스템(306)을 작동시킬 수 있다. 가스의 도입은 제1 챔버(302) 내의 압력을, 예를 들어, 10 기압 이상으로 증가시킬 수 있다.
가스는 기판(326) 상의 층과 반응하는 어닐링 가스일 수 있다. 대안적으로, 가스는 기판(326) 상에 증착될 물질을 포함할 수 있다. 제1 챔버(302)에서의 적절한 온도 및 압력 조건들은 물질의 어닐링 또는 증착이 발생하게 할 수 있다. 처리, 예를 들어, 어닐링 또는 증착 동안, 제어기는 기판(326) 상에서의 물질의 층의 처리를 용이하게 하기 위해 기판(326)에 열을 추가하기 위해서 페디스털(318) 상의 하나 이상의 가열 요소(320)를 작동시킬 수 있다.
기판(326) 상의 물질의 층의 처리가 완료되면, 기판(326)은 이송 로봇을 사용하여 제1 챔버(302)로부터 제거될 수 있고, 필요하다면, 후속 프로세스 챔버로 이송될 수 있다. 제1 챔버(302) 밖으로 기판(326)의 이송을 준비하기 위해, 제어기는 밸브 조립체(212)가 개방되기 전에 제1 챔버(302)를 감압하기 위해 가스 전달 시스템(306)의 배기 시스템을 작동시킬 수 있다. 특히, 기판(326)이 제1 챔버(302) 밖으로 이송되기 전에, 제1 챔버(302) 내의 압력을 감소시키기 위해 가스가 제1 챔버(302)로부터 배기된다. 압력은 제1 챔버(302)와 제2 챔버(304) 사이의 압력차가 최소화될 수 있도록 거의 진공 압력으로 감소될 수 있다.
제1 챔버(202)와 제2 챔버(204) 사이의 밸브 조립체(212)는 제1 챔버(202) 내의 압력을 제2 챔버(204) 내의 압력으로부터 격리시킨다. 따라서, 제1 챔버(202) 내의 고압 환경은 제2 챔버(204) 내의 저압 환경으로부터 분리되고 밀봉될 수 있다. 밸브 조립체(212)는, 기판이 제1 챔버(202)로부터 제2 챔버(204) 내로 직접 이송될 수 있게 하거나, 기판이 제2 챔버(204)로부터 제1 챔버(202) 내로 직접 이송될 수 있게 하기 위해 개방가능하다.
도 4를 참조하면, 고압 증착 시스템(400)은, 도 2의 밸브 조립체(212)와 유사하게 제1 챔버(402)를 제2 챔버(404)로부터 격리시키는, 제1 챔버(402)와 제2 챔버(404) 사이의 밸브 조립체(416)를 더 포함한다. 제2 챔버(404)는 내측 벽들(420)과 외측 벽들(424) 사이의 체적에 의해 한정될 수 있다. 추가적으로, 기판(326)은 또한, 가열기 요소들(484)을 갖는 페디스털(418) 상에 지지가능하다. 기판은 페디스털(418) 상에 직접 놓일 수 있거나, 페디스털을 통해 연장되는 리프트 핀 조립체(430) 상에 놓일 수 있다.
밸브 조립체(416)는 제1 챔버(402)의 베이스(422) 및 내측 벽들(420)에 대해 이동가능한 암(425)에 의해 형성된다. 암(425)은 제1 챔버(402)의 베이스(422) 및 외측 벽들(420)에 대해 이동가능할 수 있다. 특히, 밸브 조립체(416)는 제1 챔버(402)와 제2 챔버(404) 사이에 슬릿 밸브(423)를 포함한다. 슬릿 밸브(423)는 슬릿(423a) 및 암(425)을 포함한다. 슬릿(423a)은 제1 챔버(402)의 내측 벽들(420) 중 하나를 통해 연장된다. 암(425)의 수직 단부(425a)는 제1 챔버(402)의 외부에 위치되는 반면 암(425)의 수평 단부(425b)는 제1 챔버(402) 내에 위치된다. 암(425)의 수직 단부(425a)는 제2 챔버(404) 내에 위치될 수 있고, 제2 챔버(404) 내에 위치된 액추에이터에 의해 구동될 수 있다. 대안적으로, 암(425)의 수직 단부(425a)는 제2 챔버(404)의 외부에 위치되고, 따라서, 제2 챔버(404)의 외부에 또한 위치된 액추에이터(428)에 의해 구동된다.
암(425)은 슬릿(423a)을 통해 연장되고, 암(425)이 벽들(420)과 밀봉을 형성하는 위치로 암이 이동될 수 있도록 벽들(420)에 대해 이동가능하다. 액추에이터(428)는 암(425)의 수직 단부(425a)에 결합되고, 암(425)의 수평 단부(425b)를 벽들(420)에 대해 구동한다. 암(425)은 슬릿(423a)을 덮거나 노출시키기 위해 수직으로 이동가능하다. 특히, 암(425)의 수직 단부(425a)는 내측 벽(420)의 인접한 내측 표면에 실질적으로 평행하게 연장되는 플랜지일 수 있거나 플랜지를 포함할 수 있다. 암(425)은 또한, 암(425)의 수평 단부(425b)가 벽(420)과 맞물리거나 맞물림 해제될 수 있도록 측방향으로 구동될 수 있다. 암(425)은 또한, 외측 벽(424)의 애퍼쳐(426)를 통해 연장될 수 있다.
밸브 조립체(416)는 개방 위치와 폐쇄 위치 사이에서 이동가능하다. 밸브 조립체(416)가 개방 위치에 있을 때, 암(425)의 수평 단부(425b)는 벽(420), 예를 들어, 벽(420)의 내측 표면으로부터 측방으로 이격된다. 추가적으로, 암(425)의 수평 단부(425b)는 슬릿(423a)이 노출되도록 수직으로 위치된다. 따라서, 슬릿(423a)은 개구부를 제공하고, 이 개구부는 제1 챔버(402)와 제2 챔버(404) 사이의 유체 연통을 가능하게 하며, 예를 들어, 위에서 논의된 바와 같이 로봇에 의해 기판(326)이 제1 챔버(402) 안과 밖으로 이동되는 것을 또한 가능하게 한다. 밸브 조립체(416)가 폐쇄 위치에 있을 때, 암(425)의 수평 단부(425b)는 슬릿(423a)을 덮고 벽들(420) 중 하나와 접촉하며, 그에 의해 밀봉을 형성하여 제1 챔버(402)를 제2 챔버(404)로부터 격리시킨다. 가압될 때, 플랜지 또는 수평 단부(425b)는 제1 챔버(402)를 한정하는 벽(420)의 내측 표면과 접촉한다. O-링은 수평 단부(425b)의 둘레를 따라, 벽(420)과 접촉하는 표면 상에 배치되어, 제1 챔버(402)가 가압될 때 격납의 밀봉을 강화하는 것을 돕는다.
페디스털(418)의 가열기 요소들(484)은 제1 챔버(402)의 가스를 250 ℃까지 가열한다. O-링에 대한 손상을 방지하기 위해, 암(425)은 내부 가스 채널(480)을 포함한다. 내부 가스 채널(480)은 냉각 가스 공급부(482)로부터 공급되며, 암(425)을 통해 냉각 가스 유동을 허용하기 위한 도관이다. 내부 가스 채널(480)은 수평 단부(425b)를 통해, 또는 수평 단부(425b) 및 수직 단부(425a) 둘 모두를 통해 연장될 수 있다. 내부 가스 채널 및 냉각 가스 공급부(482)는, 밸브 조립체(416)가 개방 위치에 있을 때 냉각 가스 공급부(482)로부터의 가스가 이용가능하지 않도록 하여, 기판의 이송이 이루어지고 있을 때 냉각 가스 유동을 방지하도록 구성될 수 있다.
도 5를 참조하면, 리프트 핀 조립체(430)는 가열 요소들을 갖는 페디스털(500) 내에 통합될 수 있다. 페디스털(500)은, 예를 들어, 설명된 페디스털들(418) 중 하나에 대응할 수 있다. 페디스털(500)은, 플레이트들(508, 510)에 한정된 개구부(506)에 적어도 부분적으로 배치되는 리프트 핀(504)을 갖는 리프트 핀 조립체(502)(예를 들어, 리프트 핀 조립체(430))를 포함한다. 리프트 핀(504)은 이송 로봇, 예를 들어, 이송 로봇들(106, 108) 중 하나가 기판에 접근하고 기판을 챔버 밖으로 이동시킬 수 있도록 페디스털(500)로부터 기판을 리프팅하는 데에 사용된다. 리프트 핀(504)은 리프트 핀(504)이 페디스털(500) 내에 함몰되는 제1 위치로부터, 리프트 핀(504)이 페디스털(500)로부터 돌출되는 제2 위치로 액추에이터(505)에 의해 구동된다.
페디스털로부터의 복사 및 전도로 인해, 리프트 핀 조립체(502) 주위의 영역은 뜨거울 것인데, 예를 들어, 150 ℃ 또는 200 ℃일 것이다. 리프트 핀 조립체(502)를 위한 다양한 냉각 메커니즘들이 가능하다. 일 예에서, 벽들(524)은 냉각 가스(예를 들어, 100 ℃ 미만의 H2)를 리프트 핀 조립체(502)의 영역으로 가져오는 가스 채널들(520)을 가질 수 있다.
본 발명의 다수의 실시예들이 설명되었다. 그럼에도 불구하고, 본 발명의 사상 및 범위를 벗어나지 않고 다양한 수정들이 이루어질 수 있다는 것이 이해될 것이다. 예를 들어, 전술한 내용은 코발트 또는 니켈 층 막으로부터 금속 규화물 층의 형성을 설명하지만, 일부 구현들에서, 다른 물질들이 사용될 수 있다. 예를 들어, 다른 물질들은, 본원에 설명된 금속 규화물 물질을 형성하기 위해, 티타늄, 탄탈럼, 텅스텐, 몰리브데넘, 백금, 철, 니오븀, 팔라듐, 및 이들의 조합, 및 니켈 코발트 합금들, 코발트 텅스텐 합금들, 코발트 니켈 텅스텐 합금들, 도핑된 코발트 및 니켈 합금들, 또는 니켈 철 합금들을 포함하는 다른 합금을 포함할 수 있다.
증착 시스템의 맥락으로 위에서 설명되었지만, 제공되는 가스들에 따라, 고압 챔버는 식각 시스템에 사용될 수 있다. 대안적으로, 고압 챔버는 불활성 가스로 채워질 수 있고, 고압 챔버는 순전히, 고압에서의 열 처리에 사용될 수 있다. 본원에 설명된 처리 플랫폼들은 다른 유형들의 처리 챔버들을 포함할 수 있다. 예를 들어, 처리 플랫폼은 기판의 표면 상에 패턴들을 식각하기 위한 식각 챔버를 포함할 수 있다.
처리 플랫폼의 상이한 챔버들 각각은, 거의 진공으로부터 50 기압 초과의 범위에 이르는 가변 압력 환경들을 가질 수 있다. 이러한 가변 압력 환경들이 각각의 챔버 내에서 유지될 수 있도록, 챔버들 사이의 격리 밸브들, 예를 들어, 진공 밸브들은 압력들을 서로 격리할 수 있다.
이에 따라, 다른 실시예들은 다음의 청구항들의 범위 내에 있다.

Claims (20)

  1. 고압 처리 시스템으로서,
    하나 이상의 가스 채널들 및 처리하는 동안 기판을 제1 챔버의 내부 영역에 유지하도록 구성되는 페디스털을 포함하는 상기 제1 챔버 - 상기 페디스털은:
    하나 이상의 플레이트들; 및
    상기 하나 이상의 플레이트들 내의 개구에 적어도 부분적으로 배치된 리프트 핀을 포함하는 리프트 핀 조립체
    를 포함하고, 상기 리프트 핀은 상기 하나 이상의 플레이트들의 표면에 대하여 상기 기판을 움직이도록 구성되고, 상기 하나 이상의 가스 채널들은 상기 리프트 핀을 냉각시키기 위한 냉각 가스를 수용하도록 구성됨 -;
    상기 제1 챔버를 둘러싸는 제2 챔버;
    상기 제1 챔버와 상기 제2 챔버 사이에 있고 상기 제2 챔버로부터 상기 제1 챔버를 격리시키도록 구성되는 밸브 조립체;
    상기 제1 챔버에 하나 이상의 가스들을 제공하도록 구성되는 전달 라인;
    상기 제1 챔버로부터 상기 하나 이상의 가스들을 배기하도록 구성되는 배기 라인; 및
    상기 전달 라인과 상기 제1 챔버 사이의 연결부 및 상기 배기 라인과 상기 제1 챔버 사이의 연결부를 봉입하는 격납 인클로저
    를 포함하는, 고압 처리 시스템.
  2. 제1항에 있어서, 상기 하나 이상의 가스 채널들은 상기 제1 챔버의 제1 벽 내에 배치되는, 고압 처리 시스템.
  3. 제1항에 있어서, 상기 페디스털은 상기 제1 챔버 내의 가스를 가열하도록 구성되는 복수의 가열 요소들을 더 포함하는, 고압 처리 시스템.
  4. 삭제
  5. 제1항에 있어서, 상기 제2 챔버로부터 가스를 제거하도록 구성되는 포어라인을 더 포함하고, 상기 격납 인클로저는 상기 포어라인에 연결되고 상기 전달 라인 및 상기 배기 라인의 하나 이상의 침해 가스들(breaching gases)을 상기 포어라인에 보내도록 구성되는, 고압 처리 시스템.
  6. 제1항에 있어서, 상기 제1 챔버는 제1 벽을 더 포함하고, 상기 밸브 조립체는 상기 제1 벽의 슬릿 내에 배치되고 상기 제2 챔버로부터 상기 제1 챔버를 격리시키기 위해 상기 제1 벽의 내부 부분에 접촉하도록 구성되는 단부를 포함하는 암(arm)을 포함하는, 고압 처리 시스템.
  7. 제6항에 있어서, 상기 밸브 조립체의 상기 암은 상기 제2 챔버의 제2 벽의 애퍼쳐를 통해 연장되는, 고압 처리 시스템.
  8. 반도체 제조 장치로서,
    중앙 챔버;
    하나 이상의 가스 채널들 및 처리하는 동안 기판을 제1 챔버의 내부 영역에 유지하도록 구성되는 페디스털을 포함하는 상기 제1 챔버 - 상기 페디스털은:
    하나 이상의 플레이트들; 및
    상기 하나 이상의 플레이트들 내의 개구에 적어도 부분적으로 배치된 리프트 핀을 포함하는 리프트 핀 조립체
    를 포함하고, 상기 리프트 핀은 상기 하나 이상의 플레이트들의 표면에 대하여 상기 기판을 움직이도록 구성되고, 상기 하나 이상의 가스 채널들은 상기 리프트 핀을 냉각시키기 위하여 냉각 가스를 수용하도록 구성됨 -;
    상기 제1 챔버를 둘러싸는 제2 챔버;
    상기 제1 챔버와 상기 제2 챔버의 사이에 있고 상기 제2 챔버로부터 상기 제1 챔버를 격리시키도록 구성되는 밸브 조립체;
    상기 제1 챔버에 하나 이상의 가스들을 제공하도록 구성되는 전달 라인;
    상기 제1 챔버로부터 상기 하나 이상의 가스들을 배기하도록 구성되는 배기 라인; 및
    상기 전달 라인과 상기 제1 챔버 사이의 연결부 및 상기 배기 라인과 상기 제1 챔버 사이의 연결부를 봉입하는 격납 인클로저
    를 포함하는 고압 처리 시스템; 및
    상기 중앙 챔버 내에 위치되는 이송 로봇
    을 포함하고, 상기 이송 로봇은 상기 기판을 상기 중앙 챔버로부터 상기 제2 챔버 및 상기 밸브 조립체를 통해 통과시킴으로써 상기 기판을 상기 페디스털에 로딩하도록 구성되는, 반도체 제조 장치.
  9. 제8항에 있어서, 상기 하나 이상의 가스 채널들은 상기 제1 챔버의 제1 벽 내에 배치되는, 반도체 제조 장치.
  10. 제8항에 있어서, 상기 페디스털은 상기 제1 챔버 내의 가스를 가열하도록 구성되는 복수의 가열 요소들을 더 포함하는, 반도체 제조 장치.
  11. 삭제
  12. 제8항에 있어서, 상기 고압 처리 시스템은 상기 제2 챔버로부터 가스를 제거하도록 구성되는 포어라인을 더 포함하고, 상기 격납 인클로저는 상기 포어라인에 연결되고 상기 전달 라인 및 상기 배기 라인의 하나 이상의 침해 가스들을 상기 포어라인에 보내도록 구성되는, 반도체 제조 장치.
  13. 제8항에 있어서, 상기 제1 챔버는 제1 벽을 더 포함하고, 상기 밸브 조립체는 상기 제1 벽의 슬릿 내에 배치되고 상기 제2 챔버로부터 상기 제1 챔버를 격리시키기 위하여 상기 제1 벽의 내부 부분에 접촉하도록 구성되는 단부를 포함하는 암을 포함하는, 반도체 제조 장치.
  14. 제13항에 있어서, 상기 밸브 조립체의 상기 암은 상기 제2 챔버의 제2 벽의 애퍼쳐를 통해 연장되는, 반도체 제조 장치.
  15. 처리 시스템 운영 방법으로서,
    페디스털의 표면에 대하여 연장되는 위치에 상기 페디스털의 리프트 핀 조립체의 리프트 핀을 위치시키는 단계 - 상기 페디스털은 제1 챔버의 내부 체적 내에 배치됨 -;
    로봇을 이용하여 기판을 제2 챔버 및 밸브 조립체를 통해 통과시킴으로써 상기 리프트 핀 상에 상기 기판을 로딩하는 단계 - 상기 제2 챔버는 상기 제1 챔버를 둘러쌈 -;
    상기 리프트 핀을 상기 페디스털의 상기 표면에 대하여 후퇴된 위치에 위치시키는 단계;
    상기 제1 챔버 내의 상기 기판을 상기 제2 챔버의 내부로부터 격리시키기 위하여 상기 밸브 조립체를 폐쇄하는 단계;
    상기 리프트 핀을 냉각시키기 위하여 상기 페디스털 내의 하나 이상의 가스 채널들에 냉각 가스를 제공하는 단계;
    상기 제1 챔버 내에서 상기 기판을 처리하는 단계;
    전달 라인을 통해, 상기 제1 챔버에 제1 가스를 제공하는 단계; 및
    배기 라인을 통해, 상기 제1 챔버로부터 상기 제1 가스를 배기하는 단계
    를 포함하고,
    상기 전달 라인과 상기 제1 챔버 사이의 연결부 및 상기 배기 라인과 상기 제1 챔버의 사이의 연결부는 격납 인클로저 내에 하우징되는, 방법.
  16. 제15항에 있어서, 상기 하나 이상의 가스 채널들은 상기 제1 챔버의 제1 벽 내에 배치되는, 방법.
  17. 제15항에 있어서, 페디스털의 가열 요소로 상기 제1 챔버 내의 가스를 가열하는 단계를 더 포함하는, 방법.
  18. 삭제
  19. 제15항에 있어서, 상기 전달 라인 및 상기 배기 라인의 하나 이상의 침해 가스들을 상기 격납 인클로저로부터 포어라인으로 보내는 단계를 더 포함하는, 방법.
  20. 제15항에 있어서, 상기 밸브 조립체를 폐쇄하는 단계는 상기 밸브 조립체의 암의 단부를 상기 제1 챔버의 제1 벽의 내부 부분에 접촉하도록 위치시키는 단계를 포함하고, 상기 암은 상기 제1 벽의 슬릿 내에 배치되는, 방법.
KR1020227023466A 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템 KR102545208B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762532715P 2017-07-14 2017-07-14
US62/532,715 2017-07-14
US15/835,378 US10179941B1 (en) 2017-07-14 2017-12-07 Gas delivery system for high pressure processing chamber
US15/835,378 2017-12-07
KR1020217031756A KR102420059B1 (ko) 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템
PCT/US2018/037539 WO2019013920A1 (en) 2017-07-14 2018-06-14 GAS DISTRIBUTION SYSTEM FOR HIGH PRESSURE TREATMENT CHAMBER

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217031756A Division KR102420059B1 (ko) 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템

Publications (2)

Publication Number Publication Date
KR20220100737A KR20220100737A (ko) 2022-07-15
KR102545208B1 true KR102545208B1 (ko) 2023-06-20

Family

ID=64953616

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227023466A KR102545208B1 (ko) 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템
KR1020217031756A KR102420059B1 (ko) 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템
KR1020207004396A KR102310838B1 (ko) 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020217031756A KR102420059B1 (ko) 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템
KR1020207004396A KR102310838B1 (ko) 2017-07-14 2018-06-14 고압 처리 챔버를 위한 가스 전달 시스템

Country Status (8)

Country Link
US (2) US10179941B1 (ko)
EP (2) EP4246561A3 (ko)
JP (3) JP7033647B2 (ko)
KR (3) KR102545208B1 (ko)
CN (2) CN111066132B (ko)
SG (1) SG11201912787RA (ko)
TW (1) TWI724308B (ko)
WO (1) WO2019013920A1 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR102132756B1 (ko) * 2017-12-06 2020-07-13 주식회사 엘지화학 이차전지 분리막 코팅용 슬러리 조성물 및 이를 이용한 이차전지 분리막
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11718912B2 (en) 2019-07-30 2023-08-08 Applied Materials, Inc. Methods and apparatus for calibrating concentration sensors for precursor delivery
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
WO2022201831A1 (ja) * 2021-03-25 2022-09-29 株式会社Screenホールディングス 処理液キャビネットの排気制御方法および基板処理装置
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243374A (ja) * 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2005264226A (ja) * 2004-03-18 2005-09-29 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
JPH0743079B2 (ja) * 1985-02-19 1995-05-15 松下電器産業株式会社 真空処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH0714299U (ja) * 1993-08-10 1995-03-10 日本セミコンダクター株式会社 ガス供給配管
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
JP3684624B2 (ja) * 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6140235A (en) * 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
CN1175470C (zh) 1999-11-02 2004-11-10 东京威力科创股份有限公司 多个工件的超临界处理的方法和装置
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
KR20050062751A (ko) * 2003-12-22 2005-06-27 어댑티브프라즈마테크놀로지 주식회사 이중 도어 게이트 밸브를 가지는 챔버 장비
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP5531284B2 (ja) * 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
KR20070103058A (ko) * 2005-06-10 2007-10-22 동경 엘렉트론 주식회사 밸브체, 밸브, 전환 밸브 및 트랩 장치
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
KR101101757B1 (ko) * 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR20070068596A (ko) * 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
KR100684910B1 (ko) * 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
CN101620988A (zh) * 2008-06-30 2010-01-06 佳能安内华股份有限公司 堆叠装载锁定室及包含其的衬底处理设备
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102136407B (zh) * 2009-12-31 2013-11-06 丽佳达普株式会社 基板处理装置的导引架
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5256328B2 (ja) * 2010-10-28 2013-08-07 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
CN105453230B (zh) 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
KR20150062545A (ko) * 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9257314B1 (en) * 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) * 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243374A (ja) * 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2005264226A (ja) * 2004-03-18 2005-09-29 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置

Also Published As

Publication number Publication date
EP4246561A2 (en) 2023-09-20
TW201909313A (zh) 2019-03-01
CN111066132B (zh) 2023-09-29
JP7033647B2 (ja) 2022-03-10
JP2020526925A (ja) 2020-08-31
CN111066132A (zh) 2020-04-24
KR102310838B1 (ko) 2021-10-12
US20190119769A1 (en) 2019-04-25
KR20200019775A (ko) 2020-02-24
US20190017131A1 (en) 2019-01-17
US10179941B1 (en) 2019-01-15
KR20220100737A (ko) 2022-07-15
KR102420059B1 (ko) 2022-07-13
EP3652778A4 (en) 2021-04-21
JP2022084631A (ja) 2022-06-07
SG11201912787RA (en) 2020-01-30
JP2024009941A (ja) 2024-01-23
EP3652778A1 (en) 2020-05-20
KR20210123424A (ko) 2021-10-13
TWI724308B (zh) 2021-04-11
EP4246561A3 (en) 2023-10-25
EP3652778B1 (en) 2023-08-09
WO2019013920A1 (en) 2019-01-17
JP7368519B2 (ja) 2023-10-24
CN117373948A (zh) 2024-01-09

Similar Documents

Publication Publication Date Title
KR102545208B1 (ko) 고압 처리 챔버를 위한 가스 전달 시스템
US11756803B2 (en) Gas delivery system for high pressure processing chamber
KR102509015B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant