JP2022084631A - 高圧処理チャンバ用のガス供給システム - Google Patents

高圧処理チャンバ用のガス供給システム Download PDF

Info

Publication number
JP2022084631A
JP2022084631A JP2022027555A JP2022027555A JP2022084631A JP 2022084631 A JP2022084631 A JP 2022084631A JP 2022027555 A JP2022027555 A JP 2022027555A JP 2022027555 A JP2022027555 A JP 2022027555A JP 2022084631 A JP2022084631 A JP 2022084631A
Authority
JP
Japan
Prior art keywords
chamber
gas
pressure
substrate
gas supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022027555A
Other languages
English (en)
Other versions
JP7368519B2 (ja
Inventor
アディーブ カーン,
Khan Adib
チーウェイ リャン,
Qiwei Liang
サルタン マリク,
Malik Sultan
キース タットスン ウォン,
Keith Tatseun WONG
シュリーニヴァース ディー. ネマニ,
D Nemani Srinivas
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micromaterials LLC
Original Assignee
Micromaterials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micromaterials LLC filed Critical Micromaterials LLC
Publication of JP2022084631A publication Critical patent/JP2022084631A/ja
Priority to JP2023176397A priority Critical patent/JP2024009941A/ja
Application granted granted Critical
Publication of JP7368519B2 publication Critical patent/JP7368519B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C21METALLURGY OF IRON
    • C21DMODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
    • C21D1/00General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering
    • C21D1/74Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material
    • C21D1/773Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material under reduced pressure or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】高圧チャンバを有するプラットフォームにおいて、各チャンバ内で様々な圧力環境を維持する高圧処理システム及び装置を提供する。【解決手段】高圧処理システム200は、第1のチャンバ202と、第1のチャンバに隣接した第2のチャンバ204と、第2のチャンバからガスを除去するフォアラインと、第2のチャンバ内の圧力を真空近くまで低下させるように構成された真空処理システム208と、第1のチャンバ内の圧力を第2のチャンバ内の圧力から分離させるバルブアセンブリ212と、第1のチャンバへガスを導入して、第1のチャンバ内の圧力を少なくとも10気圧まで上昇させるガス供給システム206と、第1のチャンバからガスを除去する排気ラインと、ガス供給システム及び排気ラインの一部を囲み、ガス供給システム及び排気ラインの一部から漏洩しているガスをフォアラインへそらす格納エンクロージャと、を含む。【選択図】図2

Description

[0001]本発明は、例えば集積回路を製造中のアニーリング、堆積又はエッチング処理用の高圧処理チャンバに関する。
[0002]超小型電子回路及び他のマイクロスケールデバイスは一般に、シリコン又は他の半導体材料ウエハ等の基板又はウエハから製造される。超小型電子部品又は他のマイクロスケール部品を形成するために、又は電気接続を提供するために、基板上に複数の金属層が形成される。例えば銅等のこれらの金属層は基板上にめっきされ、一連のフォトリソグラフィ、めっき、エッチング、研磨又は他のステップにおいて部品及び配線が形成される。
[0003]所望の材料特性に達するには、通常、基板にアニーリング処理が施され、アニーリング処理において基板は急速に、普通は約200~500℃まで加熱される。基板は、比較的短時間、例えば60~300秒の間、これらの温度に保持されうる。基板は次に急速に冷却され、この全処理には普通、数秒しかかからない。アニーリングを利用して、基板上の層の材料特性を変化させることができる。アニーリングを利用して、基板上の膜間のドーパント、ドライブ(drive)ドーパントを活性化する、膜と膜とのインターフェース、又は膜と基板とのインターフェースを変化させる、堆積された膜の密度を高める、又はイオン注入による損傷を回復させることも可能である。
[0004]超小型電子デバイス及び配線の特徴のサイズが小型化するにつれ、許容可能な欠陥率が大幅に下がる。ある欠陥は、汚染粒子から生じる。他の欠陥は、ウエハの特定領域の不完全な処理、例えばトレンチ底部の膜の不完全な成長から生じうる。
[0005]様々なアニーリングチャンバが過去に使用されてきた。単一ウエハ処理設備において、これらのアニーリングチャンバは通常、基板の温度プロファイルを制御するために、加熱要素と冷却要素との間、又は加熱及び冷却要素上に基板を位置づけする。しかしながら、正確で繰り返し可能な温度プロファイルだけでなく、許容可能な欠陥レベルを達成するのに、工学的課題が生じうる。
[0006]一態様では、基板上で層を処理するための高圧処理システムは、第1のチャンバと、第1のチャンバに隣接する第2のチャンバと、第2のチャンバからガスを除去するフォアラインと、第2のチャンバ内の圧力を真空近くまで低下させるように構成された真空処理システムと、第1のチャンバ内の圧力を第2のチャンバ内の圧力から分離させる第1のチャンバと第2のチャンバとの間のバルブアセンブリと、第1のチャンバへガスを導入して、ガスが第1のチャンバ内にある間、かつ第1のチャンバが第2のチャンバから分離されている間、第1のチャンバ内の圧力を少なくとも10気圧まで上昇させるように構成されたガス供給システムと、ガス供給システム及びバルブアセンブリを操作するように構成されたコントローラと、第1のチャンバからガスを除去する排気ラインを備える排気システムと、ガス供給システム及び排気ラインの一部を囲み、ガス供給システム及び排気ラインの一部から漏洩しているガスをフォアラインへそらすように構成された格納エンクロージャとを含む。
[0007]実装態様は、以下の特徴の一又は複数を含みうる。
[0008]格納エンクロージャは、漏洩ガスが大気へ漏洩するのを防止するように構成されうる。フォアラインは、ドライラインポンプと、ドライラインポンプに到達する前にガスの圧力を低下させるように構成されたディフューザーとに接続されていてよい。
[0009]第1のポンプは、ガスを第1のチャンバへ供給する前に、ガスの圧力を少なくとも10気圧、例えば少なくとも40気圧まで上昇させるように構成されうる。格納エンクロージャは第1のポンプと、第1のポンプと第1のチャンバとを接続している供給ラインとを囲みうる。
[0010]第2のガス供給システムは、第2のガスを第1のチャンバへ導入して、第2のガスが第1のチャンバ内にある間、かつ第1のチャンバが第2のチャンバから分離されている間、第1のチャンバ内の圧力を少なくとも10気圧まで上昇させるように構成されうる。第2のガス供給システムは、第2のガスを第1のチャンバへ供給する前に、第2のガスの圧力を少なくとも40気圧まで上昇させるように構成された第2のポンプを含みうる。
[0011]ガス供給システムは、スチームを第1のチャンバへ導入するスチーム供給システムを含みうる。
[0012]一又は複数の化学センサ、例えば水素センサを、格納エンクロージャの中に置くことができ、コントローラは、コントローラが一又は複数の化学センサから化学漏洩を示す信号を受信した場合に、第1のポンプを遮断するように構成されうる。
[0013]第1のチャンバ内に基板を支持するペデスタルが位置づけされうる。ペデスタル上の加熱要素は、基板がペデスタル上で支持されているときに、基板に熱を加えて、基板をアニール処理するように構成されうる。
[0014]真空処理システムは、第2のチャンバ内に1気圧未満の圧力を生成するように構成されうる。
[0015]ロボットアームは、バルブアセンブリを通して第1のチャンバから第2のチャンバへ基板を移送するように構成されうる。バルブアセンブリは、第1のチャンバと第2のチャンバとの間にスリットバルブを含みうる。スリットバルブは、第1のチャンバと第2のチャンバとの間の壁を貫通するスリットと、アームがスリットを塞いで第1のチャンバと第2のチャンバとの間にシールを形成している第1の位置と、スリットが塞がれておらず、スリットバルブを通して第1のチャンバから第2のチャンバへ基板を移送可能な第2の位置との間で移動可能なアームとを含みうる。アームは、第1の位置において第1のチャンバを画定している壁の内面と密着してOリングを圧縮し、第1のチャンバと第2のチャンバとの間にシールを形成するように構成されうる。第2のチャンバの外でアームの端部に連結されているアクチュエータは、スリットに対してアームを移動させることができる。ガスチャネルはアームの内部にありうる。ガスチャネルは、第1の端部において冷却ガス源に接続されていてよい。
[0016]リフトピンアセンブリは、支持体から基板を持ち上げうる。冷却チャネルは、リフトピンアセンブリの近くに冷却ガスを供給して、リフトピンアセンブリを冷却しうる。
[0017]別の態様では、半導体製造装置は、内部に位置づけされたロボットを有する中央真空チャンバと、中央真空チャンバに連結されたファクトリインターフェースモジュールと、第1の真空バルブによって中央真空チャンバに連結された低圧処理チャンバと、上述した高圧処理システムとを含む。第2のチャンバは、第2の真空バルブによって中央真空チャンバに連結されている。
[0018]実装態様は、下記の利点のうちの1つ以上を含み得る。
[0019]チャンバにおいて高い圧力がより安全に確立されうる。漏洩が検出可能である。
[0020]基板全体で層がより均一に処理又は形成されうる。加えて、高圧処理により、低圧では利用できない化学反応へのアクセスも得られうる。
[0021]一又は複数の実施形態の詳細を、添付の図面及び以下の説明に記載する。他の特徴、物体、及び利点は、説明及び図面から、及び特許請求の範囲から明らかとなるだろう。
処理プラットフォームの図である。 高圧システムの図である。 改良された安全機能を有する高圧システムの図である。 高圧堆積システムの一実施例の概略側面図である。 ペデスタルの概略側面図である。
[0027]様々な図面における類似の参照符号は、類似の要素を指し示している。
[0028]上記のように、ある欠陥は、基板の特定領域の不完全な処理から生じうる。しかしながら、高圧処理(例:アニーリング又は堆積)により、基板全体の処理の一貫性を改善することが可能である。具体的には、アニーリングは、高圧環境で行うことができる。アニーリング処理を通して、例えば熱酸化、又は化学物質が基板の中に拡散し基板と反応する他の処理によって層が形成されるところでは、高圧によって、基板上の材料層の表面被覆率の完全性の改善が支援されうる。例えば、トレンチにおける層の処理形成の問題が削減されうる。この結果、基板全体で層がより均一に処理又は形成されうる。加えて、高圧処理(例:アニーリング又は堆積)により、低圧では利用できない化学反応へのアクセスも得られうる。
[0029]別の課題は、例えば銅等の特定の材料が約70℃を超える温度で酸素にさらされたときに急速に酸化することである。銅又は他の材料が酸化すると、基板はもはや使用可能でなくなる可能性がある、又は更に処理する前に酸化層をまず除去しなければならない場合がある。効率的な製造においてこれらは両方とも許容できないオプションである。したがって、設計因子は、基板温度が約70℃を超えるときに基板を酸素から隔離するということである。当然ながら酸素は周囲空気に存在するわけで、アニーリング中に銅の酸化を回避することもまた、工学的な課題を呈しうる。本書に記載したように、高圧処理チャンバと、低圧の、例えば真空に近い環境の異なる処理チャンバとの間で基板を移送して、基板の汚染及び酸化を回避することが可能である。
[0030]ウエハの温度均一性は、別の有意な設計因子である。なぜなら、それによりウエハ上の銅又は他の材料の結晶構造が影響を受けるためである。処理システム、例えばペデスタル構成は、ウエハの均一な加熱を提供しうる。
[0031]別の検討事項は、圧力である。非常に高い圧力は、製造されるウエハの一貫性及び品質を改善しうる。しかしながら、高圧(例:10atm超、15atm超、又は最大20atm)を有するシステムは、格納容器(containment)のブリーチ(breach)及び損害の高いリスクがある。改良された安全機能を有するシステムは、上記のような超高圧処理の使用に有益である。
[0032]図1に、物理的気相堆積、化学気相堆積、及び/又はアニーリング処理のうちの少なくとも1つの実施形態を実施するのに好適な、一体型マルチチャンバ基板処理システムを示す。一般に、マルチチャンバ基板処理システムは、高圧処理、例えば堆積又はアニーリング等を実施する、例えば40気圧を上回る圧力で動作しうる少なくとも1つの高圧処理チャンバと、低圧処理、例えばエッチング、堆積、又は熱処理を実施する、例えば低圧で動作しうる、少なくとも1つの低圧処理チャンバとを含む。ある実装態様では、マルチチャンバ処理システムは、低圧の中央移送チャンバを有するクラスタツールであり、ここから複数の処理チャンバにアクセスすることが可能である。
[0033]本書に記載の処理及びシステムの幾つかの実施形態は、特徴を画定するために、例えば金属及び金属シリサイドバリア等の材料の層を堆積させることに関連する。例えば、第1の金属層をシリコン基板上に堆積させ、アニール処理して金属シリサイド層を形成する。次に、第2の金属層を金属シリサイド層上に堆積させて、特徴を充填する。金属シリサイド層を形成するアニーリング処理は、複数のアニーリングステップで実施されうる。
[0034]図1は、2つの移送チャンバ102、104、移送チャンバ102、104にそれぞれ位置づけされた移送ロボット106、108、及び2つの移送チャンバ102、104に配置された処理チャンバ110、112、114、116、118、130を含む処理プラットフォーム100の一実施形態の概略上面図である。第1及び第2の移送チャンバ102、104は、隣接する処理チャンバ110、112、114、116、118、130とインターフェースで接続する中央真空チャンバである。
[0035]第1の移送チャンバ102と第2の移送チャンバ104は、冷却又は予熱チャンバを備えうる通過チャンバ120によって分離される。通過チャンバ120はまた、第1の移送チャンバ102と第2の移送チャンバ104が異なる圧力で動作するときの基板のハンドリング中にポンプダウン又は換気されうる。例えば、第1の移送チャンバ102は、約100ミリトール~約5トール、例えば約40ミリトールで動作することができ、第2の移送チャンバ104は約1×10-5トール~約1×10-8トール、例えば約1×10-7トールで動作しうる。
[0036]処理プラットフォーム100は、プログラミングされたコントローラ122によって操作される。コントローラ122は、チャンバ間でワークピースを搬送するように移送ロボット106、108を制御することができ、処理プラットフォーム100の各チャンバに、基板を処理するための個別の処理を実施させることができる。
[0037]第1の移送チャンバ102は、2つのガス抜きチャンバ124と、2つのロードロックチャンバ128と、反応予洗浄チャンバ118と、少なくとも1つの物理的気相堆積チャンバ110と、通過チャンバ120とに連結される。予洗浄チャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているPreCleanIIチャンバであってよい。基板(図示せず)は、ロードロックチャンバ128を通して処理プラットフォーム100へロードされる。例えば、ファクトリインターフェースモジュール132が存在する場合には、これは、人間の操作員又は自動基板ハンドリングシステムから一又は複数の基板、例えばウエハ、ウエハのカセット、又はウエハの密閉式ポッドを受け入れる役割を果たす。ファクトリインターフェースモジュール132は、該当する場合には、基板のカセット又はポッドを開けて、ロードロックチャンバ128の内外へ基板を移動させることができる。処理チャンバ110、112、114、116、118、130は、移送チャンバ102、104から基板を受け入れ、基板を処理し、移送チャンバ102、104へ基板を再び移送することを可能にする。処理プラットフォーム100へロードされた後に、基板は順次、ガス抜きチャンバ124及び予洗浄チャンバ118でそれぞれ、ガス抜き及び洗浄が行われる。
[0038]各処理チャンバは分離バルブによって移送チャンバ102、104から分離され、これにより処理チャンバは移送チャンバ102、104とは異なる真空レベルで動作することが可能になり、処理チャンバにおいて使用されるいかなるガスも移送チャンバへ導入されるのが防止される。ロードロックチャンバ128は、分離バルブを用いて移送チャンバ102、104からも分離される。各ロードロックチャンバ128は、外部環境に対して開く、例えばファクトリインターフェースモジュール132に対して開くドアを有する。通常の工程では、基板がロードされたカセットが、ファクトリインターフェースモジュール132からドアを通してロードロックチャンバ128の中に置かれ、ドアが閉じられる。ロードロックチャンバ128は次に、移送チャンバ102と同じ圧力まで減圧され、ロードロックチャンバ128と移送チャンバ102との間の分離バルブが開放される。移送チャンバ102のロボットが適所に移動し、ロードロックチャンバ128から1つの基板が取り除かれる。ロードロックチャンバ128には好ましくはエレベータ機構が配設され、これにより、1つの基板がカセットから取り除かれ、エレベータがカセットのウエハのスタックを移動させて、別のウエハを移送面に位置づけすることで、そのウエハがロボットブレード上に位置づけされうる。
[0039]移送チャンバ102の移送ロボット106が次に、基板と共に回転し、これにより、基板が処理チャンバの位置に位置合わせされる。処理チャンバの全ての有害ガスがフラッシュ洗浄され、移送チャンバと同じ圧力レベルにされ、分離バルブが解放される。移送ロボット106は次にウエハを処理チャンバへ移動させ、ロボットは処理チャンバにおいてウエハを持ち上げる。移送ロボット106はその後、処理チャンバから退避し、分離バルブが閉じられる。その後、処理チャンバでは、ウエハ上に特定の処理を実行する一連の工程が実施される。完了すると、処理チャンバは移送チャンバ102と同じ環境に戻され、分離バルブが解放される。移送ロボット106は処理チャンバからウエハを取り除き、次にそれを別の工程のために別の処理チャンバへ移動させるか、あるいは全カセットのウエハが処理されたときは、処理プラットフォーム100から除去するためにロードロックチャンバ128に戻し置く。
[0040]移送ロボット106、108は、基板を支持し、基板を異なる処理チャンバ間で移動させるロボットアーム107、109をそれぞれ含む。移送ロボット106は、基板をガス抜きチャンバ124と予洗浄チャンバ118との間で移動させる。基板は次に、その上に材料を堆積させるためにロングスローPVDチャンバ110へ移送されうる。
[0041]第2の移送チャンバ104は、処理チャンバ116、112、114、130のクラスタに連結される。処理チャンバ116、112は、作業員の所望通りに、タングステン等の材料を堆積させるための化学気相堆積(CVD)チャンバであってよい。PVD処理された基板は、通過チャンバ120を介して第1の移送チャンバ102から第2の移送チャンバ104へ移動される。その後、処理において必要な材料の堆積及びアニーリングのために、移送ロボット108が基板を一又は複数の処理チャンバ116、112、114、130の間で移動させる。
[0042]当然ながら、上記は全て例示の実装態様に過ぎず、各移送チャンバは、異なる数の処理チャンバのみ、例えば1~5個のチャンバを有していてよく、処理チャンバは異なる機能分散配置を有していてよく、システムは、異なる数の移送チャンバ、例えば単一の移送チャンバのみを有していてよく、移送チャンバを完全に省略してもよく、システムは単一の孤立型処理チャンバのみを有していてよい。
[0043]図2に、基板を処理するための高圧環境と、基板が処理チャンバ間を移送されているときの基板のための低圧環境とを作り出す制御下の高圧システム200を示す。制御下の高圧システム200は、第1の高圧チャンバ202と、第2の真空チャンバ204とを含む。第1のチャンバ202は、処理プラットフォーム100の処理チャンバ110、112、114、116、118、130のうちの1つに対応していてよく、第2のチャンバ204は、処理プラットフォーム100の移送チャンバ102、104のうちの1つに対応していてよい。あるいは、ある実装態様では、処理チャンバ110、112、114、116、118、130のうちの1つは、第1のチャンバ202と第2のチャンバ204の両方を含む。第1のチャンバ202は内側チャンバに対応し、第2のチャンバ204は内側チャンバを囲んでいる外側チャンバに対応しうる。
[0044]第1のチャンバ202内の圧力は、第2のチャンバ204の圧力と独立に制御されうる。第1及び第2のチャンバ202、204が移送チャンバとは別個のものである場合、第1及び第2のチャンバ202、204は、移送チャンバ内の圧力と独立に制御された圧力を有しうる。制御下の高圧システム200は更に、ガス供給システム206と、真空処理システム208と、コントローラ210とを含む。ある実施例では、処理プラットフォーム100のコントローラ122は、コントローラ210を含みうる。
[0045]第2のチャンバ204は、第1のチャンバ202に隣接する比較的低圧のチャンバである。ある実装態様では、第2のチャンバ204はまた、第1のチャンバ202を囲んでいる。第2のチャンバ204は、移送チャンバ、例えば異なる処理チャンバ間で基板を受け入れる移送チャンバ102、又は移送チャンバ104に対応しうる。第2のチャンバ204の低圧環境は、基板又は基板上に堆積した材料の汚染及び/又は酸化を妨げることができる。
[0046]ガス供給システム206は、第1のチャンバ202を加圧し、また減圧するように動作する。第1のチャンバ202は、ガス供給システム206から前駆体ガスを受け入れ、例えば少なくとも10気圧(例:15atm超、20atm超)の圧力等の高い圧力を確立する高圧処理チャンバである。前駆体ガスはワークピース、例えばワークピース上の層と反応しうる、又はワークピース上に堆積される材料の供給源として機能しうる。第1のチャンバ202を加圧するために、ガス供給システム206が第1のチャンバ202へ前駆体ガスを導入する。ガス供給システム206は、第1のチャンバ202へスチームを導入して、第1のチャンバ202内の圧力を上昇させることも可能である。
[0047]ガス供給システム206は、第1のチャンバ202から前駆体ガス及びスチームを排気することにより、第1のチャンバ202を減圧する排気システム211を含む。真空処理システム208は、第2のチャンバ204の圧力を真空又は真空に近い圧力、例えば1ミリトール未満になるように制御するように動作する。真空処理システム208は、第2のチャンバ204内の圧力を真空近くにまで低下させることにより、基板の移送に対して適切な低圧環境を作り出す。動作中、第1のチャンバ202において達した超高圧(例:10atm超、15atm超)により、第2のチャンバ204において対応する高圧(約1atm未満(例:おおよそ0.85atm又は640トール)が求められる。
[0048]第1のチャンバ202と第2のチャンバ204との間のバルブアセンブリ212は、第2のチャンバ204内の圧力から第1のチャンバ202内の圧力を分離する。第1のチャンバ202内の高圧環境はしたがって、第2のチャンバ204内の低圧環境から切り離され、密封されうる。第1のチャンバ202から第2のチャンバ204へ直接、基板を移送することができるように、又は第2のチャンバ204から第1のチャンバ202へ直接、基板を移送することができるように、バルブアセンブリ212を開放可能である。
[0049]高圧システム200は、移送チャンバ、例えば移送チャンバ102、104のうちの1つに接続され、外部環境に接続されたフォアライン214を含む。外部環境の圧力から第2のチャンバ204内の圧力を分離するために分離バルブ216がフォアライン214に沿って配置されている。分離バルブ216は、第2のチャンバ204内の圧力を調節し、第2のチャンバ204内のガスを解放するように動作しうる。分離バルブ216は、第2のチャンバ204内の圧力を調整するために真空処理システム208と共に動作しうる。分離バルブ216は、多極点における高圧システム200の全体的な安全性を高めるために、ガス供給システム206及び排気システム211と共に動作しうる。
[0050]図3は、安全性を高めるための圧力分離を含む、高圧処理システムの概略図である。図3を参照すると、高圧システム300の一実施形態は、図1及び図2に関して説明した第1のチャンバ302及び第2のチャンバ304を含む。第1のチャンバ302及び第2のチャンバ304は、以下に説明すること以外は、第1のチャンバ202及び第2のチャンバ204と同様でありうる。
[0051]内側チャンバは、処理される、例えばアニール処理される又は材料層が堆積される基板326を支持するペデスタル318を含む。ペデスタル318は、第1のチャンバ302内に位置づけされる又は位置づけ可能である。ある実装態様では、ペデスタル318の平坦な上面に直接、基板326が置かれる。ある実装態様では、基板326は、ペデスタル318から突出しているリフトピンに置かれる。
[0052]ガス供給システム306は、ガス供給システム306からガスを受け入れて、高圧、例えば最大75psi又は80psiの圧力を確立する第1のチャンバ302を加圧及び減圧するように動作する。第1のチャンバ302を加圧するために、ガス供給システム306はガスを第1のチャンバ302へ導入する。ガスは、第1の処理ガス供給モジュール342から第1の高圧ガスを送るために供給される、及び/又は第2の処理ガス供給モジュール346から第1の高圧ガスとは異なる組成の第2の圧力ガスを送るために供給される。第1のガスはHであってよく、第1の処理ガス供給モジュール342は高圧Hガス供給モジュールである。第2のガスはNHであってよく、第1の処理ガス供給モジュール345は、高圧NHガス供給モジュール346である。ガス供給システム306は、第1のチャンバ302内の圧力を上昇させるために、第1のチャンバ302へ高圧スチーム供給モジュール344から供給されるスチームも導入しうる。供給モジュール342、344、346は、それぞれのガスを供給する供給設備又はガスタンクに接続される。供給モジュール342、344、346は、第1の供給ライン352、第2の供給ライン354、及び第3の供給ライン356によって第1のチャンバ302に接続される。
[0053]第1の高圧ガス供給モジュール342は第1のポンプ372を含み、第2の高圧ガス供給モジュール346は第2のポンプ376を有する。第1の供給モジュール342に組み込まれた第1のポンプ372により、流入する第1のガス、例えば水素ガスの圧力が上昇する。第1のガスは比較的低圧、例えば25~40psi(おおよそ1.7~2.7atm)で第1のガス供給モジュール342へ供給することができる。第1のポンプ372によって、ある場合には最大750psiの規模の圧力に上昇させることができる。
[0054]同様に、第2の供給モジュール346へ組み込まれた第2のポンプ376により、流入する第2のガス、例えばアンモニアガスの圧力が上昇する。ガスは比較的低圧で第2の供給モジュール346へ供給することができ、第2のポンプ376によって、例えば10atm超、15atm超、又は最大50atmの圧力に上昇させることができる。第1のガス供給モジュール342と第2のガス供給モジュール346は各々、独立したポンプ372、376を有する。ある場合には、必要なポンプは1つのみであり、システム300に含まれている。
[0055]それぞれのポンプ372、376を有する第1のガス供給モジュール342と第2のガス供給モジュール346、及びスチーム供給モジュール344はそれぞれ、独立したハウジング362、366、及び364に収容されている。ある実装態様では、それぞれのハウジングはいずれも、システム300の安全性を促進するために、物理的に及び流体的に接続されていなくてよい。
[0056]システム300の安全性を更に高めるために、各供給モジュール342、344、346は格納アセンブリ内に密閉されている。格納アセンブリは、供給ライン352、354、356のチャンバ302、304との接続部を取り囲む少なくとも1つの格納エンクロージャ360を含む。
[0057]ある実装態様では、格納アセンブリは、各々が、それぞれのガス供給モジュールを囲んで封入する圧力格納エンクロージャである複数の部品を含む。例えば、第1のガス供給モジュール342は第1の格納エンクロージャに密閉され、スチーム供給モジュール344は第2のエンクロージャに、第2のガス供給モジュールは第3のエンクロージャに密閉されていてよい。ある場合には、これらの格納アセンブリの物理的に独立した細部品は、ハウジング362、364、366に対応しうる。
[0058]あるいは、例えば図3に示すように、供給モジュール342、344、346は共通の格納エンクロージャ360によって密閉されていてよい。この場合、ハウジング362、364、366は、共通の格納エンクロージャ360の一部であってよい。ハウジング362、364、366は、供給ライン352、354、356のチャンバ302、304との接続部を密閉する格納エンクロージャ360の一部360aに連結されうる。具体的には、供給ライン352、354、356は、ハウジング362、364、366のそれぞれと、一部360aとの間に延在する、それぞれの導管352a、354a、356aに密閉されうる。
[0059]格納エンクロージャ360はまた、外側チャンバ304も含んでいてよく、第2のチャンバ304からガス及びスチームを排気する排気システム311に流体接続されていてよい。排気システム311は、分離バルブ316とフォアライン314とを含む。
[0060]格納エンクロージャ360はまた、格納排気ライン368も含みうる。格納排気ライン368は、第1のチャンバ302を排気システム311に接続する、第1のチャンバ302用の排気ライン370を取り囲んでいる。格納排気ライン368は排気ライン370を囲み、格納エンクロージャ360を排気システム311に接続する。
[0061]格納エンクロージャ360内の加圧部品のいずれかのブリーチは、格納エンクロージャ360によって抑制されうる。ある場合には、排気ライン368によってブリーチングガスがフォアライン314へ送り込まれ、ブリーチングガスはフォアライン314においてシステム300から安全に放出されうる。
[0062]各供給ライン352、354、356はそれ自体が格納エンクロージャ360内に収納され、供給ライン352、354、356の各ラインは、格納エンクロージャ360内に圧力解放ラインを有する。圧力解放ラインによって解放される供給ライン352、354、356の中に蓄積した圧力は全て、格納エンクロージャ360へ流され、例えば格納排気ライン368によって、又はある場合には排気システム311に接続された独立した排気チャネル369を介してシステム300から除去される。
[0063]システム300は、内側チャンバ302に連結された圧力解放ライン382も含む。内側チャンバ302の圧力が許容レベルを超えた場合、圧力解放ライン382によって解放されたガスが外側チャンバ304へ流され、排気チャネル369によってシステム300から除去される、又は格納エンクロージャ360へ流されて格納排気ライン368によって、又はある場合には、排気システム311に接続された独立した排気チャネルを介してシステム300から除去される。
[0064]したがって、加圧部品は全て格納エンクロージャ360内に収納され、これにより、システム300が加圧ガスを大気にさらすことさえなく、予期しない漏洩、破裂、又はブリーチを除去することができる。
[0065]システム300には、複数の化学センサ380、例えばガスセンサが含まれる。具体的には、揮発性のために、ガスセンサは水素センサであってよい。ガスセンサ380は、漏洩の可能性のある場所、例えば格納エンクロージャ360の中、及び排気ライン368の中に組み込まれる。いずれかの水素センサ380が水素の漏洩を検出した場合、コントローラはセンサ380から信号を検出して、ポンプ372、376を遮断する。
[0066]ある場合は、格納エンクロージャ360はフォアライン314に接続されており、フォアライン314はドライラインポンプ378に接続されている。加圧ユニット、例えば供給ライン352、354、356のうちの1つが漏洩した場合、漏洩しているガスはフォアライン314へ送られ、ガスは次にドライラインポンプ378を通って流される。圧力に対応する(例:高圧によるドライラインポンプ378のブリーチを防止する)ために、ドライラインポンプ378に到達する前にガスを広がらせる。ある場合には、ガスは、大きい直径のディフューザー、例えば20インチ×5フィートの高さのディフューザーを通って流される。
[0067]第2のチャンバ304は、第1のチャンバ302よりも低い圧力、例えばおおよそ12psiに維持される。第2のチャンバ304の漏洩は全て、ドライラインポンプ378も経由する。
[0068]基板326において材料層を処理する又は堆積させるために、コントローラは、真空処理システムを操作して、第2のチャンバ304を低圧状態、例えば第2のチャンバ304が1気圧未満の圧力を有する状態まで減圧して、第2のチャンバ304を通して基板326を移送するための準備をすることができる。低圧状態は、例えば1ミリトールを下回る圧力等の真空に近い状態であってよい。第2のチャンバ304が低圧である間に、移送ロボット、例えば図1の移送ロボット106、108のうちの1つによって第2のチャンバ304を通して基板326を移動させることにより、基板326の汚染及び酸化を妨げることができる。
[0069]基板326は、処理のために第1のチャンバ302へ移送される。第1のチャンバ302の中へ基板326を移送するために、コントローラは、バルブアセンブリ212を操作して、例えばバルブアセンブリ212を開放して、例えばそれを通して第1のチャンバ302へ基板326を移送することができる開口部を提供することができる。コントローラは、移送ロボットを操作して、第1のチャンバ302の中に基板326を運び、ペデスタル318上に基板326を載置することができる。
[0070]基板326が第1のチャンバ302へ移送された後に、コントローラは、バルブアセンブリ212を操作して、開口部を閉じる、例えばバルブアセンブリ212を閉じることにより、第1及び第2のチャンバ302、304を互いから分離させることができる。バルブアセンブリ212が閉じられると、第1のチャンバ302と第2のチャンバ304の圧力は異なる値に設定されうる。コントローラは、ガス供給システム306を操作して第1のチャンバ302の中にガスを導入し、第1のチャンバ302を加圧して基板326上に材料層を堆積させることができる。ガスの導入により、第1のチャンバ302内の圧力が、例えば10気圧以上に上昇しうる。
[0071]ガスは、基板326上の層と反応するアニーリングガスであってよい。あるいは、ガスは、基板326上に堆積される材料を含んでいてよい。第1のチャンバ302における適切な温度及び圧力条件により、材料をアニール処理する、又は堆積させることができる。処理中、例えばアニーリング又は堆積中に、コントローラは、ペデスタル318の一又は複数の加熱要素320を操作して基板326に熱を加え、基板326上の材料層の処理を促進することができる。
[0072]基板326上の材料層の処理が完了すると、移送ロボットを使用して第1のチャンバ302から基板326を取り除くことができ、必要な場合には、後続の処理チャンバへ移送することができる。第1のチャンバ302の外へ基板326を移送する準備をするために、コントローラは、ガス供給システム306の排気システムを操作して、バルブアセンブリ212が開放される前に第1のチャンバ302を減圧しうる。具体的には、第1のチャンバ302の外へ基板326を移送する前に、第1のチャンバ302内の圧力を低下させるように第1のチャンバ302からガスを排気する。第1のチャンバ302と第2のチャンバ304との間の圧力差が最小限になりうるように、圧力を真空圧近くまで低下させうる。
[0073]第1のチャンバ202と第2のチャンバ204との間のバルブアセンブリ212は、第1のチャンバ202内の圧力を第2のチャンバ204内の圧力から分離する。第1のチャンバ202内の高圧環境はしたがって、第2のチャンバ204内の低圧環境から切り離され、密封されうる。第1のチャンバ202から第2のチャンバ204へ直接、基板を移送することができるように、又は第2のチャンバ204から第1のチャンバ202へ直接、基板を移送することができるように、バルブアセンブリ212を開放可能である。
[0074]図4を参照すると、高圧堆積システム400は更に、図2のバルブアセンブリ212と同様に、第1のチャンバ402を第2のチャンバ404から分離する、第1のチャンバ402と第2のチャンバ404との間のバルブアセンブリ416を含む。第2のチャンバ404は、内壁420と外壁424との間の容積によって画定されうる。加えて、基板326は、ヒータ要素484を有するペデスタル418上でも支持可能である。基板は、ペデスタル418上に直接置く、又はペデスタルを通って延びるリフトピンアセンブリ430上に置くことができる。
[0075]バルブアセンブリ416は、第1のチャンバ402の内壁420と基部422に対して移動可能なアーム425によって形成される。アーム425は、第1のチャンバ402の外壁420と基部422に対して移動可能であってよい。具体的には、バルブアセンブリ416は、第1のチャンバ402と第2のチャンバ404との間にスリットバルブ423を含む。スリットバルブ423は、スリット423aと、アーム425とを含む。スリット423aは、第1のチャンバ402の内壁420のうちの1つを通って延びている。アーム425の垂直端部425aは、第1のチャンバ402の外に位置づけされているが、アーム425の水平端部425bは、第1のチャンバ402内に位置づけされている。アーム425の垂直端部425aは、第2のチャンバ404内に位置づけされ、第2のチャンバ404内に位置づけされたアクチュエータによって動かされうる。あるいは、アーム425の垂直端部425aが第2のチャンバ404の外に位置づけされることにより、やはり第2のチャンバ404の外に位置づけされたアクチュエータ428によって動かされる。
[0076]アーム425はスリット423aを通って延び、壁420に対して移動可能であるため、アーム425を壁420とともにシールを形成するような位置へ移動させることができる。アクチュエータ428は、アーム425の垂直端部425aに連結され、壁420に対してアーム425の水平端部425bを動かす。アーム425は、スリット423aを塞ぐ、又は塞がないように垂直に移動可能である。具体的には、アーム425の垂直端部425aは、隣接する内壁420の内面に実質的に平行に延びたフランジであってよい、又はフランジを含みうる。アーム425はまた、アーム425の水平端部425bが壁420と密着するように、又は壁420との密着が解除されうるように側方へも動かされる。アーム425はまた、外壁424の開孔426を通って延びていてもよい。
[0077]バルブアセンブリ416は、解放位置と閉鎖位置との間で移動可能である。バルブアセンブリ416が開放位置にあるときに、アーム425の水平端部425bは壁420、例えば壁420の内面から側方に間隔をおいて配置される。加えて、アーム425の水平端部425bは、スリット423aを塞がないように垂直に位置づけされる。スリット423aはしたがって、第1のチャンバ402と第2のチャンバ404との間の流体連結を可能にし、また例えば上述したようにロボット等によって基板326を第1のチャンバ402の内外に移動させることも可能にする開口部を提供する。バルブアセンブリ416が閉鎖位置にあるときに、アーム425の水平端部425bがスリット423aを塞いで、壁420のうちの1つと接触することにより、第1のチャンバ402を第2のチャンバ404から分離するシールが形成される。加圧されると、フランジ又は水平端部425bが、第1のチャンバ402を画定している壁420の内面と接触する。壁420と接触する表面の水平端部425bの周囲に沿ってOリングが載置され、第1のチャンバ402が加圧されたときに、封じ込めのシールの強化を助ける。
[0078]ペデスタル418のヒータ要素484は、第1のチャンバ402のガスを最大250℃に加熱する。Oリングの損傷を防止するために、アーム425は、内部ガスチャネル480を含む。内部ガスチャネル480は、冷却ガス供給482から供給され、アーム425を通して冷却ガスを流す導管である。内部ガスチャネル480は、水平端部425bを通って延びていてよい、あるいは、水平端部425bと垂直端部425aの両方を通って延びていてよい。内部ガスチャネルと冷却ガス供給482は、バルブアセンブリ416が解放位置にあるときに冷却ガス供給482からのガスが使用不可能となり、基板の移送が行われているときに冷却ガスの流れが防止されるように構成されうる。
[0079]図5を参照すると、リフトピンアセンブリ430は、加熱要素とともにペデスタル500の中に組み込まれうる。ペデスタル500は、例えば、記載されたペデスタル418のうちの1つに対応しうる。ペデスタル500は、プレート508に画定された開口部506に少なくとも部分的に配置されたリフトピン504を有するリフトピンアセンブリ502(例:リフトピンアセンブリ430)を含む。リフトピン504を使用してペデスタル500から基板が持ち上げられることにより、移送ロボット、例えば移送ロボット106、108のうちの1つが基板にアクセスして、基板をチャンバの外へ移動させることができる。リフトピン504は、アクチュエータ505によって、リフトピン504がペデスタル500内に後退している第1の位置から、リフトピン504がペデスタル500から突出している第2の位置へと動かされる。
[0080]ペデスタルからの放射及び伝導に起因して、リフトピンアセンブリ502周囲のエリアが熱くなる、例えば150℃又は200℃になる。リフトピンアセンブリ502向けの様々な冷却機構が可能である。一例では、壁524に、冷却ガス(例えば100℃未満のH2)をリフトピンアセンブリ502の領域へ送るガスチャネル520を有していてよい。
[0081]本発明の幾つかの実施形態が記載されている。それでも、本発明の意図及び範囲から逸脱せずに、様々な変更を行うことが可能であることを理解すべきである。例えば、前述では、コバルト又はニッケル層膜から金属シリサイド層の形成を記載したが、ある実装態様では、他の材料を使用することが可能である。例えば、本書に記載の金属シリサイド材料を形成するための他の材料には、チタン、タンタル、タングステン、モリブデン、プラチナ、鉄、ニオブ、パラジウム、及びそれらの組み合わせ、及びニッケル・コバルト合金、コバルト・タングステン合金、コバルト・ニッケル・タングステン合金、ドープされたコバルト及びニッケルの合金、又はニッケル・鉄合金を含むその他の合金が含まれうる。
[0082]堆積システムに関連して上述したが、提供されるガスによっては、エッチングシステムに高圧チャンバを使用する場合もある。あるいは、不活性ガスで高圧チャンバを充填することができ、高圧チャンバを高圧における熱処理のみに使用することができる。本書に記載の処理プラットフォームは、他の種類の処理チャンバを含みうる。例えば、処理プラットフォームは、パターンを基板の表面上にエッチングするエッチングチャンバを含みうる。
[0083]処理プラットフォームの異なるチャンバは各々、真空に近い気圧から、50気圧を上回る範囲の様々な圧力環境を有しうる。チャンバ間の分離バルブ、例えば真空バルブは、互いの圧力からの分離を可能にし、これにより、各チャンバ内でこれらの様々な圧力環境を維持することができる。
[0084]したがって、その他の実施形態は下記の特許請求の範囲内にある。

Claims (15)

  1. 基板上で層を処理するための高圧処理システムであって、
    第1のチャンバと、
    前記第1のチャンバで前記基板を保持する支持体と、
    前記第1のチャンバに隣接した第2のチャンバと、
    前記第2のチャンバからガスを除去するフォアラインと、
    前記第2のチャンバ内の圧力を真空近くまで低下させるように構成された真空処理システムと、
    前記第1のチャンバ内の圧力を前記第2のチャンバ内の圧力から分離させる前記第1のチャンバと前記第2のチャンバとの間のバルブアセンブリと、
    前記第1のチャンバへガスを導入して、前記ガスが前記第1のチャンバ内にある間、かつ前記第1のチャンバが前記第2のチャンバから分離されている間、前記第1のチャンバ内の圧力を少なくとも10気圧まで上昇させるように構成されたガス供給システムと、
    前記ガス供給システム及び前記バルブアセンブリを操作するように構成されたコントローラと、
    前記第1のチャンバからガスを除去する排気ラインを備える排気システムと、
    前記ガス供給システム及び前記排気ラインの一部を囲み、前記ガス供給システム及び前記排気ラインの一部から漏洩しているガスを前記フォアラインへそらすように構成された格納エンクロージャと
    を備える、システム。
  2. 前記ガス供給システムが、前記ガスを前記第1のチャンバへ供給する前に、前記ガスの圧力を上昇させるように構成された第1のポンプを備える、請求項1に記載のシステム。
  3. 前記格納エンクロージャによって囲まれた前記ガス供給システムの一部が、前記第1のポンプと、前記第1のポンプと前記第1のチャンバとを接続する供給ラインとを備える、請求項2に記載のシステム。
  4. 第2のガスを前記第1のチャンバへ導入し、前記第2のガスが前記第1のチャンバ内にある間に、かつ前記第1のチャンバが前記第2のチャンバから分離されている間に、前記第1のチャンバ内の圧力を少なくとも10気圧まで上昇させるように構成された第2のガス供給システムを備える、請求項1に記載のシステム。
  5. 前記第2のガス供給システムは、前記第2のガスを前記第1のチャンバへ供給する前に、前記第2のガスの圧力を少なくとも40気圧まで上昇させるように構成された第2のポンプを備える、請求項4に記載のシステム。
  6. 前記ガス供給システムが、スチームを前記第1のチャンバへ導入するスチーム供給システムを備える、請求項1に記載のシステム。
  7. 前記格納エンクロージャの中に水素センサを更に備え、前記コントローラが前記水素センサから水素の漏洩を示す信号を受信した場合に、前記コントローラが第2のポンプを遮断するように構成されている、請求項1に記載のシステム。
  8. 前記第2のチャンバ内に圧力を生成するように構成された真空処理システムを更に備え、前記圧力は1気圧未満である、請求項1に記載のシステム。
  9. 前記バルブアセンブリは、前記第1のチャンバと前記第2のチャンバとの間にスリットバルブを備えている、請求項1に記載のシステム。
  10. 前記スリットバルブは、前記第1のチャンバと前記第2のチャンバとの間の壁を貫通するスリットと、アームが前記スリットを塞いで前記第1のチャンバと前記第2のチャンバとの間にシールを形成している第1の位置と、前記スリットが塞がれておらず、前記スリットバルブを通して前記第1のチャンバから前記第2のチャンバへ前記基板を移送可能である第2の位置との間で移動可能なアームとを備えている、請求項9に記載のシステム。
  11. 前記アームは、第1の位置において前記第1のチャンバを画定している壁の内面と密着してOリングを圧縮し、前記第1のチャンバと前記第2のチャンバとの間にシールを形成するように構成されている、請求項10に記載のシステム。
  12. 前記スリットに対して前記アームを移動させるアクチュエータであって、前記第2のチャンバの外で前記アームの端部に連結されているアクチュエータを更に備える、請求項10に記載のシステム。
  13. 前記アームの内部にガスチャネルを更に備え、前記ガスチャネルは第1の端部において冷却ガス源に接続されている、請求項12に記載のシステム。
  14. 前記支持体から前記基板を持ち上げるリフトピンアセンブリと、
    前記リフトピンアセンブリの近くに冷却ガスを供給して、前記リフトピンアセンブリを冷却する冷却チャネルと
    を更に備える、請求項1に記載のシステム。
  15. 半導体製造装置であって、
    内部に位置づけされたロボットを有する中央真空チャンバと、
    前記中央真空チャンバに連結されたファクトリインターフェースモジュールと、
    第1の真空バルブによって前記中央真空チャンバに連結された低圧処理チャンバと、
    第2の真空バルブによって前記中央真空チャンバに前記第2のチャンバが連結されている請求項1に記載の高圧堆積システムと
    を備える、装置。
JP2022027555A 2017-07-14 2022-02-25 高圧処理チャンバ用のガス供給システム Active JP7368519B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023176397A JP2024009941A (ja) 2017-07-14 2023-10-12 高圧処理チャンバ用のガス供給システム

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762532715P 2017-07-14 2017-07-14
US62/532,715 2017-07-14
US15/835,378 US10179941B1 (en) 2017-07-14 2017-12-07 Gas delivery system for high pressure processing chamber
US15/835,378 2017-12-07
JP2020500629A JP7033647B2 (ja) 2017-07-14 2018-06-14 高圧処理チャンバ用のガス供給システム
PCT/US2018/037539 WO2019013920A1 (en) 2017-07-14 2018-06-14 GAS DISTRIBUTION SYSTEM FOR HIGH PRESSURE TREATMENT CHAMBER

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020500629A Division JP7033647B2 (ja) 2017-07-14 2018-06-14 高圧処理チャンバ用のガス供給システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023176397A Division JP2024009941A (ja) 2017-07-14 2023-10-12 高圧処理チャンバ用のガス供給システム

Publications (2)

Publication Number Publication Date
JP2022084631A true JP2022084631A (ja) 2022-06-07
JP7368519B2 JP7368519B2 (ja) 2023-10-24

Family

ID=64953616

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020500629A Active JP7033647B2 (ja) 2017-07-14 2018-06-14 高圧処理チャンバ用のガス供給システム
JP2022027555A Active JP7368519B2 (ja) 2017-07-14 2022-02-25 高圧処理チャンバ用のガス供給システム
JP2023176397A Pending JP2024009941A (ja) 2017-07-14 2023-10-12 高圧処理チャンバ用のガス供給システム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020500629A Active JP7033647B2 (ja) 2017-07-14 2018-06-14 高圧処理チャンバ用のガス供給システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023176397A Pending JP2024009941A (ja) 2017-07-14 2023-10-12 高圧処理チャンバ用のガス供給システム

Country Status (8)

Country Link
US (2) US10179941B1 (ja)
EP (2) EP4246561A3 (ja)
JP (3) JP7033647B2 (ja)
KR (3) KR102545208B1 (ja)
CN (2) CN111066132B (ja)
SG (1) SG11201912787RA (ja)
TW (1) TWI724308B (ja)
WO (1) WO2019013920A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR102132756B1 (ko) * 2017-12-06 2020-07-13 주식회사 엘지화학 이차전지 분리막 코팅용 슬러리 조성물 및 이를 이용한 이차전지 분리막
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11718912B2 (en) 2019-07-30 2023-08-08 Applied Materials, Inc. Methods and apparatus for calibrating concentration sensors for precursor delivery
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
WO2022201831A1 (ja) * 2021-03-25 2022-09-29 株式会社Screenホールディングス 処理液キャビネットの排気制御方法および基板処理装置
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
JPH0743079B2 (ja) * 1985-02-19 1995-05-15 松下電器産業株式会社 真空処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH0714299U (ja) * 1993-08-10 1995-03-10 日本セミコンダクター株式会社 ガス供給配管
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
JP3684624B2 (ja) * 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6140235A (en) * 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
CN1175470C (zh) 1999-11-02 2004-11-10 东京威力科创股份有限公司 多个工件的超临界处理的方法和装置
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP2003243374A (ja) * 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
KR20050062751A (ko) * 2003-12-22 2005-06-27 어댑티브프라즈마테크놀로지 주식회사 이중 도어 게이트 밸브를 가지는 챔버 장비
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
JP2005264226A (ja) * 2004-03-18 2005-09-29 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP5531284B2 (ja) * 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
KR20070103058A (ko) * 2005-06-10 2007-10-22 동경 엘렉트론 주식회사 밸브체, 밸브, 전환 밸브 및 트랩 장치
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
KR101101757B1 (ko) * 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR20070068596A (ko) * 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
KR100684910B1 (ko) * 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
CN101620988A (zh) * 2008-06-30 2010-01-06 佳能安内华股份有限公司 堆叠装载锁定室及包含其的衬底处理设备
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102136407B (zh) * 2009-12-31 2013-11-06 丽佳达普株式会社 基板处理装置的导引架
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5256328B2 (ja) * 2010-10-28 2013-08-07 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
CN105453230B (zh) 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
KR20150062545A (ko) * 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9257314B1 (en) * 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) * 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same

Also Published As

Publication number Publication date
EP4246561A2 (en) 2023-09-20
TW201909313A (zh) 2019-03-01
CN111066132B (zh) 2023-09-29
JP7033647B2 (ja) 2022-03-10
JP2020526925A (ja) 2020-08-31
CN111066132A (zh) 2020-04-24
KR102310838B1 (ko) 2021-10-12
US20190119769A1 (en) 2019-04-25
KR102545208B1 (ko) 2023-06-20
KR20200019775A (ko) 2020-02-24
US20190017131A1 (en) 2019-01-17
US10179941B1 (en) 2019-01-15
KR20220100737A (ko) 2022-07-15
KR102420059B1 (ko) 2022-07-13
EP3652778A4 (en) 2021-04-21
SG11201912787RA (en) 2020-01-30
JP2024009941A (ja) 2024-01-23
EP3652778A1 (en) 2020-05-20
KR20210123424A (ko) 2021-10-13
TWI724308B (zh) 2021-04-11
EP4246561A3 (en) 2023-10-25
EP3652778B1 (en) 2023-08-09
WO2019013920A1 (en) 2019-01-17
JP7368519B2 (ja) 2023-10-24
CN117373948A (zh) 2024-01-09

Similar Documents

Publication Publication Date Title
JP7033647B2 (ja) 高圧処理チャンバ用のガス供給システム
US11756803B2 (en) Gas delivery system for high pressure processing chamber
JP7253015B2 (ja) 高圧ウエハ処理システム及び関連方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220328

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231012

R150 Certificate of patent or registration of utility model

Ref document number: 7368519

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150