US20190119769A1 - Gas delivery system for high pressure processing chamber - Google Patents

Gas delivery system for high pressure processing chamber Download PDF

Info

Publication number
US20190119769A1
US20190119769A1 US16/230,637 US201816230637A US2019119769A1 US 20190119769 A1 US20190119769 A1 US 20190119769A1 US 201816230637 A US201816230637 A US 201816230637A US 2019119769 A1 US2019119769 A1 US 2019119769A1
Authority
US
United States
Prior art keywords
chamber
substrate
pressure
gas
slit valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US16/230,637
Inventor
Adib Khan
Qiwei Liang
Sultan Malik
Keith Tatseun WONG
Srinivas D. Nemani
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micromaterials LLC
Original Assignee
Micromaterials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micromaterials LLC filed Critical Micromaterials LLC
Priority to US16/230,637 priority Critical patent/US20190119769A1/en
Assigned to Micromaterials, LLC. reassignment Micromaterials, LLC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KHAN, ADIB, LIANG, QIWEI, MALIK, SULTAN, NEMANI, SRINIVAS D., WONG, Keith Tatseun
Publication of US20190119769A1 publication Critical patent/US20190119769A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C21METALLURGY OF IRON
    • C21DMODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
    • C21D1/00General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering
    • C21D1/74Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material
    • C21D1/773Methods of treatment in inert gas, controlled atmosphere, vacuum or pulverulent material under reduced pressure or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Definitions

  • This invention relates to a high pressure processing chamber, e.g., for annealing, deposition or etching processes during integrated circuit fabrication.
  • Micro-electronic circuits and other micro-scale devices are generally manufactured from a substrate or wafer, such as a silicon or other semiconductor material wafer. Multiple metal layers are applied onto the substrate to form micro-electronic or other micro-scale components or to provide electrical connections. These metal layers, e.g., copper, are plated onto the substrate, and form the components and interconnects in a sequence of photolithographic, plating, etching, polishing, or other steps.
  • the substrate is typically put through an annealing process in which the substrate is quickly heated, usually to about 200-500° C.
  • the substrate may be held at these temperatures for a relatively short time, e.g., 60-300 seconds.
  • the substrate is then rapidly cooled, with the entire process usually taking only a few minutes.
  • Annealing may be used to change the material properties of the layers on the substrate. It may also be used to activate dopants, drive dopants between films on the substrate, change film-to-film or film-to-substrate interfaces, densify deposited films, or to repair damage from ion implantation.
  • the allowable defect rate decreases substantially. Some defects result from contaminant particles. Other defects can result from incomplete processing of certain regions of the wafer, e.g., failure to grow a film at the bottom of a trench.
  • annealing chambers have been used in the past. In single wafer processing equipment, these annealing chambers typically position the substrate between or on heating and cooling elements, to control the temperature profile of the substrate. However, achieving precise and repeatable temperature profiles, as well as an acceptable level of defects, can present engineering challenges.
  • a high-pressure processing system for processing a layer on a substrate includes a first chamber, a second chamber adjacent the first chamber, a foreline to remove gas from the second chamber, a vacuum processing system configured to lower a pressure within the second chamber to near vacuum, a valve assembly between the first chamber and the second chamber to isolate the pressure within the first chamber from the pressure within the second chamber, a gas delivery system configured to introduce a gas into the first chamber and to increase the pressure within the first chamber to at least 10 atmospheres while the gas is in the first chamber and while the first chamber is isolated from the second chamber, a controller configured to operate the gas delivery system and the valve assembly, an exhaust system comprising an exhaust line to remove gas from the first chamber, and a containment enclosure surrounding a portion of the gas delivery system and the exhaust line, the containment enclosure configured to divert gas leaking from the portion of the gas delivery system and the exhaust line to the foreline.
  • Implementations may include one or more of the following features.
  • the containment enclosure may be configured to prevent leaking gas leaking to the atmosphere.
  • the foreline may be connected to a dry line pump and a diffuser configured to reduce the pressure of the gas before reaching the dry line pump.
  • a first pump may be configured to increase pressure of the gas to at least 10 atmospheres, e.g., at least 40 atmospheres, before delivering the gas to the first chamber.
  • the containment enclosure may surround the first pump, and delivery lines connecting the first pump and the first chamber.
  • a second gas delivery system may be configured to introduce a second gas into the first chamber and to increase the pressure within the first chamber to at least 10 atmospheres while the second gas is in the first chamber and while the first chamber is isolated from the second chamber.
  • the second gas delivery system may include a second pump configured to increase pressure of the second gas to at least 40 atmospheres before delivering the second gas to the first chamber.
  • the gas delivery system may include a steam delivery system that introduces steam to the first chamber.
  • One or more chemical sensors e.g., hydrogen sensors
  • the controller may be configured to shut off the first pump if the controller receives a signal from one or more of the chemical sensors indicating a chemical leak.
  • a pedestal to support the substrate may be positioned within the first chamber.
  • a heating element on the pedestal may be configured to apply heat to the substrate and to anneal the substrate when the substrate is supported on the pedestal.
  • a vacuum processing system may be configured to generate a pressure less than 1 atmosphere within the second chamber.
  • a robot arm may be configured to transfer the substrate through the valve assembly from the first chamber to the second chamber.
  • the valve assembly may include a slit valve between the first chamber and the second chamber.
  • the slit valve may include a slit through a wall between the first chamber and the second chamber, and an arm movable between a first position in which the arm covers the slit to form a seal between the first chamber and the second chamber and a second position in which the slit is uncovered and the substrate is transferrable through the slit valve from the first chamber to the second chamber.
  • the arm may be configured to engage an inner surface of the wall defining the first chamber in the first position to compress an O-ring an form the seal between the first chamber and the second chamber.
  • An actuator coupled to an end of the arm outside of the second chamber may move the arm relative to the slit.
  • a gas channel may be internal to the arm. The gas channel may be connected to a cooling gas source on a first end.
  • a lift pin assembly may lift the substrate from the support.
  • a cooling channel may deliver cool gas near the lift pin assembly and cool the lift pin assembly.
  • a semiconductor fabrication apparatus in another aspect, includes a central vacuum chamber having a robot positioned therein, a factory interface module coupled to the central vacuum chamber, a low-pressure processing chamber coupled to the central vacuum chamber by a first vacuum valve, and the high-pressure deposition system discussed above.
  • the second chamber is coupled to the central vacuum chamber by a second vacuum valve.
  • Implementations may include one or more of the following advantages.
  • High pressure can be established in a chamber more safely. Leaks can be detected.
  • a layer can be treated or formed more uniformly across the substrate.
  • high-pressure processing can also provide access to chemical reactions that are not available at lower pressures.
  • FIG. 1 is a diagram of a processing platform.
  • FIG. 2 is a diagram of a high-pressure system.
  • FIG. 3 is a diagram of a high-pressure system with enhanced safety features.
  • FIG. 4 is a schematic side view of an example of a high-pressure deposition system.
  • FIG. 5 is a schematic side view of a pedestal.
  • high-pressure processing can improve consistency of processing across the substrate.
  • annealing can occur in a high-pressure environment.
  • the high pressure can help improve thoroughness of surface coverage of the layer of material on the substrate.
  • problems of treatment formation of a layer in a trench can be reduced.
  • a layer can be treated or formed more uniformly across the substrate.
  • high-pressure processing e.g., annealing or deposition
  • the substrate can be transferred between the high-pressure processing chamber and different processing chambers in the low-pressure, e.g., near-vacuum, environment to avoid contamination and oxidation of the substrate.
  • the temperature uniformity of the wafer is another significant design factor as it affects the crystalline structure of copper or other materials on the wafer.
  • the processing system e.g., the pedestal configuration, can provide uniform heating of the wafer.
  • pressure Another consideration is pressure. Very high pressures can improve the consistency and quality of the wafers produced. However, systems that have high pressure (e.g., above 10 atm, above 15 atm, or up to 20 atm) are at high risk of breach and loss of containment. A system with enhanced safety features is beneficial for use of such ultra-high pressure processing.
  • FIG. 1 shows an integrated multi-chamber substrate processing system suitable for performing at least one embodiment of physical vapor deposition, chemical vapor deposition, and/or annealing processes.
  • the multi-chamber substrate processing system includes at least one high-pressure processing chamber, e.g., able to operate at pressures above 40 atmospheres, to perform a high-pressure process such as deposition or annealing, and at least one low-pressure processing chamber, e.g., able to operate a low pressures, to perform a low-pressure process such as etching, deposition, or thermal treatment.
  • the multi-chamber processing system is a cluster tool having a central transfer chamber that is at low pressure and from which multiple processing chambers can be accessed.
  • Some embodiments of the processes and systems described herein relate to depositing layers of material, e.g., metal and metal silicide barriers, for feature definitions. For example, a first metal layer is deposited on a silicon substrate and annealed to form a metal silicide layer. A second metal layer is then deposited on the metal silicide layer to fill the feature. The annealing process to form the metal silicide layer may be performed in multiple annealing steps.
  • FIG. 1 is a schematic top view of one embodiment a processing platform 100 including two transfer chambers 102 , 104 , transfer robots 106 , 108 positioned in the transfer chambers 102 , 104 , respectfully, and processing chambers 110 , 112 , 114 , 116 , 118 , 130 , disposed on the two transfer chambers 102 , 104 .
  • the first and second transfer chambers 102 , 104 are central vacuum chambers that interface with adjacent processing chambers 110 , 112 , 114 , 116 , 118 , 130 .
  • the first transfer chamber 102 and the second transfer chamber 104 are separated by pass-through chambers 120 , which may comprise cooldown or pre-heating chambers.
  • the pass-through chambers 120 also may be pumped down or ventilated during substrate handling when the first transfer chamber 102 and the second transfer chamber 104 operate at different pressures.
  • the first transfer chamber 102 may operate between about 100 milliTorr and about 5 Torr, such as about 40 milliTorr
  • the second transfer chamber 104 may operate between about 1 x10-5 Torr and about 1 x10-8 Torr, such as about 1 x10-7 Torr.
  • the processing platform 100 is operated by a programmed controller 122 .
  • the controller 122 can control the transfer robots 106 , 108 to transport the workpieces between the chambers, and can cause each of the chambers of the processing platform 100 to perform individual operations to process the substrate.
  • the first transfer chamber 102 is coupled with two degas chambers 124 , two load lock chambers 128 , a reactive pre-clean chamber 118 , at least one physical vapor deposition chamber 110 , and the pass-through chambers 120 .
  • the pre-clean chamber may be a PreClean II chamber, commercially available from Applied Materials, of Santa Clara, Calif.
  • Substrates (not shown) are loaded into the processing platform 100 through load lock chambers 128 .
  • a factory interface module 132 if present, would be responsible for receiving one or more substrates, e.g., wafers, cassettes of wafers, or enclosed pods of wafers, from either a human operator or an automated substrate handling system.
  • the factory interface module 132 can open the cassettes or pods of substrates, if applicable, and move the substrates to and from the load lock chambers 128 .
  • the processing chambers 110 , 112 , 114 , 116 , 118 , 130 receive the substrates from the transfer chambers 102 , 104 , process the substrates, and allow the substrates to be transferred back into the transfer chambers 102 , 104 .
  • the substrates are sequentially degassed and cleaned in degas chambers 124 and the pre-clean chamber 118 , respectively.
  • Each of the processing chambers are isolated from the transfer chambers 102 , 104 by an isolation valve which allows the processing chambers to operate at a different level of vacuum than the transfer chambers 102 , 104 and prevents any gasses being used in the processing chamber from being introduced into the transfer chamber.
  • the load lock chambers 128 are also isolated from the transfer chamber 102 , 104 with isolation valves.
  • Each load lock chamber 128 has a door which opens to the outside environment, e.g., opens to the factory interface module 132 . In normal operation, a cassette loaded with substrates is placed into the load lock chamber 128 through the door from the factory interface module 132 and the door is closed.
  • the load lock chamber 128 is then evacuated to the same pressure as the transfer chamber 102 and the isolation valve between the load lock chamber 128 and the transfer chamber 102 is opened.
  • the robot in the transfer chamber 102 is moved into position and one substrate is removed from the load lock chamber 128 .
  • the load lock chamber 128 is preferably equipped with an elevator mechanism so as one substrate is removed from the cassette, the elevator moves the stack of wafers in the cassette to position another wafer in the transfer plane so that it can be positioned on the robot blade.
  • the transfer robot 106 in the transfer chamber 102 then rotates with the substrate so that the substrate is aligned with a processing chamber position.
  • the processing chamber is flushed of any toxic gasses, brought to the same pressure level as the transfer chamber, and the isolation valve is opened.
  • the transfer robot 106 then moves the wafer into the processing chamber where it is lifted off the robot.
  • the transfer robot 106 is then retracted from the processing chamber and the isolation valve is closed.
  • the processing chamber then goes through a series of operations to execute a specified process on the wafer.
  • the processing chamber is brought back to the same environment as the transfer chamber 102 and the isolation valve is opened.
  • the transfer robot 106 removes the wafer from the processing chamber and then either moves it to another processing chamber for another operation or replaces it in the load lock chamber 128 to be removed from the processing platform 100 when the entire cassette of wafers has been processed.
  • the transfer robots 106 , 108 include robot arms 107 , 109 , respectively, that support and move the substrate between different processing chambers.
  • the transfer robot 106 moves the substrate between the degas chambers 124 and the pre-clean chamber 118 .
  • the substrate may then be transferred to the long throw PVD chamber 110 for deposition of a material thereon.
  • the second transfer chamber 104 is coupled to a cluster of processing chambers 116 , 112 , 114 , 130 .
  • the processing chambers 116 , 112 may be chemical vapor deposition (CVD) chambers for depositing materials, such as tungsten, as desired by the operator.
  • the PVD processed substrates are moved from the first transfer chamber 102 into the second transfer chamber 104 via the pass-through chambers 120 . Thereafter, the transfer robot 108 moves the substrates between one or more of the processing chambers 116 , 112 , 114 , 130 for material deposition and annealing as required for processing.
  • each transfer chamber could have just a different number of processing chambers, e.g., one to five chambers, the processing chambers could have different distribution of functions, the system could have a different number of transfer chambers, e.g., just a single transfer chamber, and the transfer chambers could be omitted entirely and the system could have just a single stand-alone processing chamber.
  • FIG. 2 illustrates a controlled high-pressure system 200 that creates a high-pressure environment for processing a substrate and a low-pressure environment for the substrate when the substrate is being transferred between processing chambers.
  • the controlled high-pressure system 200 includes a first high-pressure chamber 202 and a second vacuum chamber 204 .
  • the first chamber 202 can correspond to one of the processing chambers 110 , 112 , 114 , 116 , 118 , 130 of the processing platform 100
  • the second chamber 204 can correspond to one of the transfer chambers 102 , 104 of the processing platform 100 .
  • one of the processing chambers 110 , 112 , 114 , 116 , 118 , 130 includes both the first chamber 202 and the second chamber 204 .
  • the first chamber 202 can correspond to an inner chamber
  • the second chamber 204 can correspond to an outer chamber surrounding the inner chamber.
  • the pressure within the first chamber 202 can be controlled independently of the pressure in the second chamber 204 . If the first and second chambers 202 , 204 are distinct from the transfer chambers, the first and second chambers 202 , 204 can have pressures that are controlled independently of the pressures within the transfer chambers.
  • the controlled high-pressure system 200 further includes a gas delivery system 206 , a vacuum processing system 208 , and a controller 210 .
  • the controller 122 of the processing platform 100 can include the controller 210 .
  • the second chamber 204 is a relatively low pressure chamber adjacent to the first chamber 202 .
  • the second chamber 204 also surrounds the first chamber 202 .
  • the second chamber 204 can correspond to a transfer chamber, e.g., the transfer chamber 102 or the transfer chamber 104 , which receives the substrate between different processing chambers.
  • the low pressure environment of the second chamber 204 can inhibit contamination and/or oxidation of the substrate or the material deposited on the substrate.
  • the gas delivery system 206 is operated to pressurize and depressurize the first chamber 202 .
  • the first chamber 202 is a high-pressure processing chamber that receives a precursor gas from the gas delivery system 206 and establishes a high pressure, e.g., at a pressure of at least 10 atmospheres, (e.g., above 15 atm, above 20 atm).
  • the precursor gas can react with the workpiece, e.g., a layer on the workpiece, or serve as a source for the material to be deposited on the workpiece.
  • the gas delivery system 206 introduces the precursor gas into the first chamber 202 .
  • the gas delivery system 206 can also introduce steam into the first chamber 202 to increase the pressure within the first chamber 202 .
  • the gas delivery system 206 includes an exhaust system 211 to exhaust the precursor gas and steam from the first chamber 202 , thereby depressurizing the first chamber 202 .
  • the vacuum processing system 208 is operated to control the pressure of the second chamber 204 to be at a vacuum or near-vacuum pressure, e.g., less than 1 milliTorr.
  • the vacuum processing system 208 lowers a pressure within the second chamber 204 to near vacuum, thereby creating the appropriate low pressure environment for transfer of the substrate.
  • the ultra-high pressures achieved in the first chamber 202 (e.g., above 10 atm, above 15 atm) require a corresponding higher pressure in the second chamber 204 (below about 1 atm (e.g., approximately 0.85 atm or 640 torr).
  • a valve assembly 212 between the first chamber 202 and the second chamber 204 isolates the pressure within the first chamber 202 from the pressure within the second chamber 204 .
  • the high-pressure environment within the first chamber 202 can thus be separated and sealed from the low pressure environment within the second chamber 204 .
  • the valve assembly 212 is openable to enable the substrate to be transferred from the first chamber 202 directly into the second chamber 204 or to enable the substrate to be transferred from the second chamber 204 directly into the first chamber 202 .
  • the high-pressure system 200 includes a foreline 214 connected to a transfer chamber, e.g., one of the transfer chambers 102 , 104 , and connected to an outside environment.
  • An isolation valve 216 is arranged along the foreline 214 to isolate the pressure within the second chamber 204 from the pressure of the outside environment.
  • the isolation valve 216 can be operated to adjust the pressure within the second chamber 204 and to release gases within the second chamber 204 .
  • the isolation valve 216 can be operated in conjunction with the vacuum processing system 208 to regulate the pressure within the second chamber 204 .
  • the isolation valve 216 can be operated in conjunction with the gas delivery system 206 and exhaust system 211 to increase the overall safety of the high-pressure system 200 at multipole points.
  • FIG. 3 is a schematic diagram a high-pressure processing system that includes pressure isolation for increased safety.
  • a high-pressure system 300 includes a first chamber 302 a second chamber 304 as described with respect to FIGS. 1 and 2 .
  • the first chamber 302 and second chamber 304 can be similar to the first chamber 202 and second chamber 204 , except as described below.
  • the inner chamber includes a pedestal 318 that supports a substrate 326 which is to be processed, e.g., subject to annealing or on which a layer of material is to be deposited.
  • the pedestal 318 is positioned or positionable within the first chamber 302 .
  • the substrate 326 sits directly on a flat top surface of the pedestal 318 .
  • the substrate 326 sits on lift pins that project from the pedestal 318 .
  • a gas delivery system 306 is operated to pressurize and depressurize the first chamber 302 that receives gas from the gas delivery system 306 and establishes a high pressure, e.g., at a pressure of up to 75 or 80 psi.
  • the gas delivery system 306 introduces the gas into the first chamber 302 .
  • the gas is supplied from a first processing gas delivery module 342 to deliver a first high pressure gas and/or from a second processing gas delivery module 346 to deliver a second pressure gas of different composition that the first high pressure gas.
  • the first gas can be H 2 ; the first processing gas delivery module 342 is a high pressure H 2 gas delivery module.
  • the second gas can be NH 3 ; the first processing gas delivery module 345 is a high pressure NH 3 gas delivery module 346 .
  • the gas delivery system 306 can also introduce steam into the first chamber 302 supplied from high pressure steam delivery module 344 to increase the pressure within the first chamber 302 .
  • the delivery modules 342 , 344 , 346 are connected to facility supplies or gas tanks that supply the respective gases.
  • the delivery modules 342 , 344 , 346 are connected to the first chamber 302 by a first delivery line 352 , second delivery line 354 , and third delivery line 356 .
  • the first high pressure gas delivery module 342 includes a first pump 372 and the second high pressure gas delivery module 346 has a second pump 376 .
  • the first pump 372 integrated into the first delivery module 342 increases the pressure of the incoming first gas, e.g., the hydrogen gas.
  • the first gas can be supplied to the first gas delivery module 342 at a relatively low pressure, e.g., 25-40 psi (approximately 1.7-2.7 atm).
  • the first pump 372 can increase the pressure by an order of magnitude, in some cases up as high as 750 psi.
  • the second pump 376 integrated into the second delivery module 346 increases the pressure of the incoming second gas, e.g., the ammonia gas.
  • the gas can be supplied to the second delivery module 346 at a relatively low pressure and the second pump 376 can increase the pressure, e.g., above 10 atm, above 15 atm, or as high as 50 atm.
  • the first gas delivery module 342 and the second gas delivery module 346 each have separate pumps 372 , 376 . In some instances only one pump is necessary and is included in the system 300 .
  • the first gas delivery module 342 and the second gas delivery module 346 with their respective pumps 372 , 376 as well as the steam delivery module 344 are contained in separate housings 362 , 366 , and 364 respectively.
  • the respective housings can be both physically and fluidly unconnected to enhance the safety of the system 300 .
  • each of the delivery modules 342 , 344 , 346 are enclosed within a containment assembly.
  • the containment assembly includes at least a containment enclosure 360 that encloses the connections of the delivery lines 352 , 354 , 356 to the chambers 302 , 304 .
  • the containment assembly includes multiple parts each of which is a pressure-containing enclosure that surrounds and encapsulates a respective gas delivery module.
  • the first gas delivery module 342 can be enclosed in a first containment enclosure, the steam delivery module 344 in a second enclosure and the second gas delivery module in a third enclosure.
  • these physically separate sub-parts of containment assembly can correspond to the housings 362 , 364 , 366 .
  • the delivery modules 342 , 344 , 346 can be enclosed by a common containment enclosure 360 .
  • the housings 362 , 364 , 366 can be portions of the common containment enclosure 360 .
  • the housing 362 , 364 , 366 can be coupled to the portion 360 a of the containment enclosure 360 that encloses the connections of the delivery lines 352 , 354 , 356 to the chambers 302 , 304 .
  • the delivery lines 352 , 354 , 356 can be enclosed in respective conduits 352 a , 354 a , 356 a that extend between the housings 362 , 364 , 366 , respectively, and the portion 360 a.
  • the containment enclosure 360 can also include the outer chamber 304 , and can be fluidly connected to the exhaust system 311 that exhausts the gas and steam from the second chamber 304 .
  • the exhaust system 311 includes isolation valve 316 and foreline 314 .
  • the containment enclosure 360 can also include a containment exhaust line 368 .
  • the containment exhaust line 368 encloses the exhaust line 370 for the first chamber 302 that connects the first chamber 302 to the exhaust system 311 .
  • the containment exhaust line 368 surrounds the exhaust line 370 and connects the containment enclosure 360 to the exhaust system 311 .
  • a breach in any of the pressurized components within the containment enclosure 360 can be contained by containment enclosure 360 .
  • the breaching gases are funneled by exhaust line 368 to the foreline 314 , where the breaching gases can be safely vented from the system 300 .
  • Each one of the delivery lines 352 , 354 , 356 are themselves contained within containment enclosure 360 , and each line delivery line 352 , 354 , 356 has a pressure relief line within the containment enclosure 360 . Any pressure buildup inside delivery lines 352 , 354 , 356 that is released by the pressure relief line will flow into the containment enclosure 360 and be removed from the system 300 , e.g., by containment exhaust line 368 , or in some instances via separate exhaust channels 369 connected to exhaust system 311 .
  • the system 300 also includes a pressure relief line 382 that is coupled to the inner chamber 302 . If the pressure in the inner chamber 302 exceeds permissible levels, gas that is released by the pressure relief line 382 will flow into the outer chamber 304 and be removed from the system 300 by the exhaust line 369 , or flow into the containment enclosure 360 and be removed from the system 300 by containment exhaust line 368 , or in some instances via separate exhaust channels connected to exhaust system 311 .
  • all pressurized components can be contained within containment enclosure 360 so that the system 300 can relieve unexpected leaks, ruptures, or breaches without ever exposing pressurized gas the atmosphere.
  • gas sensors 380 are included in the system 300 .
  • the gas sensors can be hydrogen sensors.
  • a gas sensor 380 is integrated into possible leak locations, e.g., inside containment enclosure 360 , and inside exhaust line 368 . If any hydrogen sensor 380 detects a hydrogen leak, the controller will detect the signal from the sensor 380 and will shut off the pumps 372 , 366 .
  • the containment enclosure 360 connects to the foreline 314 , which in turn connects to a dry line pump 378 . If one of the pressurized units, e.g., delivery lines 352 , 354 , 356 , leaks, the leaking gas is delivered to the foreline 314 and the gas then flows through the dry line pump 378 . To accommodate the pressure (e.g., prevent the high pressure from breaching the dry line pump 378 ) the gas is expanded before reaching the dry line pump 378 . In some instances the gas flows through a large diameter diffuser, e.g., 20 inch by 5 ft. tall diffuser.
  • a large diameter diffuser e.g., 20 inch by 5 ft. tall diffuser.
  • the second chamber 304 is maintained at a lower pressure than the first chamber 302 , for example at approximately 12 psi. Any leaks in the second chamber 304 are also routed through the dry line pump 378 .
  • the controller can operate the vacuum processing system to depressurize the second chamber 304 to a low-pressure state, e.g., to a state in which the second chamber 304 has a pressure less than 1 atmosphere, to prepare for transfer of the substrate 326 through the second chamber 304 .
  • the low-pressure state can be a near-vacuum state, e.g., a pressure less than 1 milliTorr.
  • the substrate 326 is moved through the second chamber 304 by a transfer robot, e.g., one of the transfer robots 106 , 108 in FIG. 1 , while the second chamber 304 is at the low-pressure so that contamination and oxidation of the substrate 326 can be inhibited.
  • the substrate 326 is transferred into the first chamber 302 for processing.
  • the controller can operate the valve assembly 212 , e.g., open the valve assembly 212 to provide an opening through which the substrate 326 can be transferred into the first chamber 302 .
  • the controller can operate the transfer robot to carry the substrate 326 into the first chamber 302 and to place the substrate 326 on the pedestal 318 .
  • the controller can operate the valve assembly 212 to close the opening, e.g., close the valve assembly 212 , thereby isolating the first and second chambers 302 , 304 from one another.
  • the controller can operate the gas delivery system 306 to introduce the gas into the first chamber 302 to pressurize the first chamber 302 and to deposit the layer of material onto the substrate 326 .
  • the introduction of the gas can increase the pressure within the first chamber 302 to, for example, 10 atmospheres or more.
  • the gas can be an annealing gas that reacts with the layer on the substrate 326 .
  • the gas can include the material to be deposited onto the substrate 32 .
  • the proper temperature and pressure conditions in the first chamber 302 can cause the annealing or deposition of the material to occur.
  • the controller can operate the one or more heating elements 320 on the pedestal 318 to add heat to the substrate 326 to facilitate processing of the layer of material on the substrate 326 .
  • the substrate 326 can be removed from the first chamber 302 using the transfer robot and, if necessary, transferred to a subsequent process chamber.
  • the controller can operate the exhaust system of the gas delivery system 306 to depressurize the first chamber 302 before the valve assembly 212 is opened.
  • the gas is exhausted from the first chamber 302 to reduce the pressure within the first chamber 302 .
  • the pressure can be reduced to a near-vacuum pressure such that the pressure differential between the first chamber 302 and the second chamber 304 can be minimized.
  • the valve assembly 212 between the first chamber 202 and the second chamber 204 isolates the pressure within the first chamber 202 from the pressure within the second chamber 204 .
  • the high-pressure environment within the first chamber 202 can thus be separated and sealed from the low pressure environment within the second chamber 204 .
  • the valve assembly 212 is openable to enable the substrate to be transferred from the first chamber 202 directly into the second chamber 204 or to enable the substrate to be transferred from the second chamber 204 directly into the first chamber 202 .
  • a high-pressure deposition system 400 further includes a valve assembly 416 between the first chamber 402 and the second chamber 404 that, similar to the valve assembly 212 of FIG. 2 , isolates the first chamber 402 from the second chamber 404 .
  • the second chamber 404 can be defined by volume between inner walls 420 and outer walls 424 .
  • a substrate 326 is also supportable on a pedestal 418 that has heater elements 484 . The substrate can sit directly on the pedestal 418 , or sit on a lift pin assembly 430 that extend through the pedestal.
  • the valve assembly 416 is formed by an arm 425 movable relative to the inner walls 420 and the base 422 of the first chamber 402 .
  • the arm 425 can movable relative to the outer walls 420 and the base 422 of the first chamber 402 .
  • the valve assembly 416 includes a slit valve 423 between the first chamber 402 and the second chamber 404 .
  • the slit valve 423 includes a slit 423 a and the arm 425 .
  • the slit 423 a extends through one of the inner walls 420 of the first chamber 402 .
  • a vertical end 425 a of the arm 425 is positioned outside of the first chamber 402 while a horizontal end 425 b of the arm 425 is positioned within the first chamber 402 .
  • the vertical end 425 a of the arm 425 can be positioned within the second chamber 404 and be driven by an actuator positioned within the second chamber 404 .
  • the vertical end 425 a of the arm 425 is positioned outside of the second chamber 404 and is thus driven by an actuator 428 that is also positioned outside of the second chamber 404 .
  • the arm 425 extends through the slit 423 a and is movable relative to the walls 420 so that the arm 425 can be moved to a position in which it forms a seal with the walls 420 .
  • the actuator 428 is coupled to the vertical end 425 a of the arm 425 and drives the horizontal end 425 b of the arm 425 relative to the walls 420 .
  • the arm 425 is movable vertically to cover or uncover the slit 423 a .
  • the vertical end 425 a of the arm 425 can be or include a flange that extends substantially parallel to the adjacent inner surface of the inner wall 420 .
  • the arm 425 can also be driven laterally so that the horizontal end 425 b of the arm 425 can engage or disengage the wall 420 .
  • the arm 425 can also extend through an aperture 426 in the outer wall 424 .
  • the valve assembly 416 is movable between an open position and a closed position.
  • the horizontal end 425 b of the arm 425 is spaced laterally apart from the wall 420 , e.g., the inner surface of the wall 420 .
  • the horizontal end 425 b of the arm 425 is positioned vertically so that the slit 423 a is uncovered.
  • the slit 423 a thus provides an opening that enables fluidic communication between the first chamber 402 and the second chamber 404 and that also enables the substrate 426 to be moved in and out of the first chamber 402 , e.g., by a robot as discussed above.
  • the horizontal end 425 b of the arm 425 covers the slit 423 a and contacts one of the walls 420 , thereby forming the seal to isolate the first chamber 402 from the second chamber 404 .
  • the flange or horizontal end 425 b contacts an inner surface of the wall 420 defining the first chamber 402 .
  • An O-ring is placed along the circumference of the horizontal end 425 b on the surface that contacts the wall 420 , helping to reinforcing the seal of containment when the first chamber 402 is pressurized.
  • the arm 425 includes internal gas channel 480 .
  • the internal gas channel 480 is supplied from cooling gas supply 482 and is a conduit to let the cooling gas flow through the arm 425 .
  • the internal gas channel 480 can extend through the horizontal end 425 b , or through both the horizontal end 425 b and the vertical end 425 a .
  • the internal gas channel and cooling gas supply 482 can be configured such that when the valve assembly 416 is in the open position no gas is available from the cooling gas supply 482 , preventing cooling gas flow when transfer of the substrate is occurring.
  • the lift pin assembly 430 can be integrated into a pedestal 500 with heating elements.
  • the pedestal 500 can, for example, correspond to one of the pedestals 418 described.
  • the pedestal 500 includes a lift pin assembly 502 (e.g., lift pin assembly 430 ) having a lift pin 504 , which is disposed at least partially in an opening 506 defined in plates 508 , 510 .
  • the lift pin 504 is used to lift the substrate from the pedestal 500 such that a transfer robot, e.g., one of the transfer robots 106 , 108 , can access and move the substrate out of a chamber.
  • the lift pin 504 is driven by an actuator 505 from a first position in which the lift pin 504 is recessed within the pedestal 500 to a second position in which the lift pin 504 protrudes from the pedestal 500 .
  • the area around the lift pin assembly 502 will be hot, e.g., 150° C. or 200° C.
  • Various cooling mechanisms for the lift pin assembly 502 are possible.
  • the walls 524 can have gas channels 520 that bring cooling gas (e.g., H2 at below 100° C.) to the region of the lift pin assembly 502 .
  • a metal silicide layer from a cobalt or nickel layer film
  • other materials can include titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, and combinations thereof, and other alloys including nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys, to form the metal silicide material as described herein.
  • the high-pressure chamber can be used for an etching system.
  • the high-pressure chamber can be filled with an inert gas, and the high-pressure chamber can be used purely for heat treatment at high pressure.
  • the processing platforms described herein can include other types of processing chambers.
  • a processing platform can include an etching chamber to etch patterns onto a surface of a substrate.
  • Each of the different chambers of a processing platform can have varying pressure environments, ranging from near-vacuum to more than 50 atmospheres.
  • the isolation valves, e.g., vacuum valves, between the chambers can isolate the pressures from one another such that these varying pressure environments can be maintained within each chamber.

Abstract

A high-pressure processing system includes a first chamber, a second chamber adjacent the first chamber, a foreline to remove gas from the second chamber, a vacuum processing system configured to lower a pressure within the second, a valve assembly to isolate the pressure within the first chamber from the pressure within the second chamber, a gas delivery system configured to introduce a gas into the first chamber and to increase the pressure within the first chamber to at least 10 atmospheres, an exhaust line to remove gas from the first chamber, and a containment enclosure surrounding a portion of the gas delivery system and the exhaust line to divert gas leaking from the portion of the gas delivery system and the exhaust line to the foreline.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. patent application Ser. No. 15/835,378 filed Dec. 7, 2017 entitled “GAS DELIVERY SYSTEM FOR HIGH PRESSURE PROCESSING CHAMBER”, which claims the benefit of United Stated provisional patent application Ser. No. 62/532,715, filed on Jul. 14, 2017, which is incorporated by reference in its entirety.
  • TECHNICAL FIELD
  • This invention relates to a high pressure processing chamber, e.g., for annealing, deposition or etching processes during integrated circuit fabrication.
  • BACKGROUND
  • Micro-electronic circuits and other micro-scale devices are generally manufactured from a substrate or wafer, such as a silicon or other semiconductor material wafer. Multiple metal layers are applied onto the substrate to form micro-electronic or other micro-scale components or to provide electrical connections. These metal layers, e.g., copper, are plated onto the substrate, and form the components and interconnects in a sequence of photolithographic, plating, etching, polishing, or other steps.
  • To achieve desired material properties the substrate is typically put through an annealing process in which the substrate is quickly heated, usually to about 200-500° C. The substrate may be held at these temperatures for a relatively short time, e.g., 60-300 seconds. The substrate is then rapidly cooled, with the entire process usually taking only a few minutes. Annealing may be used to change the material properties of the layers on the substrate. It may also be used to activate dopants, drive dopants between films on the substrate, change film-to-film or film-to-substrate interfaces, densify deposited films, or to repair damage from ion implantation.
  • As feature sizes for microelectronic devices and interconnects become smaller, the allowable defect rate decreases substantially. Some defects result from contaminant particles. Other defects can result from incomplete processing of certain regions of the wafer, e.g., failure to grow a film at the bottom of a trench.
  • Various annealing chambers have been used in the past. In single wafer processing equipment, these annealing chambers typically position the substrate between or on heating and cooling elements, to control the temperature profile of the substrate. However, achieving precise and repeatable temperature profiles, as well as an acceptable level of defects, can present engineering challenges.
  • SUMMARY
  • In one aspect, a high-pressure processing system for processing a layer on a substrate includes a first chamber, a second chamber adjacent the first chamber, a foreline to remove gas from the second chamber, a vacuum processing system configured to lower a pressure within the second chamber to near vacuum, a valve assembly between the first chamber and the second chamber to isolate the pressure within the first chamber from the pressure within the second chamber, a gas delivery system configured to introduce a gas into the first chamber and to increase the pressure within the first chamber to at least 10 atmospheres while the gas is in the first chamber and while the first chamber is isolated from the second chamber, a controller configured to operate the gas delivery system and the valve assembly, an exhaust system comprising an exhaust line to remove gas from the first chamber, and a containment enclosure surrounding a portion of the gas delivery system and the exhaust line, the containment enclosure configured to divert gas leaking from the portion of the gas delivery system and the exhaust line to the foreline.
  • Implementations may include one or more of the following features.
  • The containment enclosure may be configured to prevent leaking gas leaking to the atmosphere. The foreline may be connected to a dry line pump and a diffuser configured to reduce the pressure of the gas before reaching the dry line pump.
  • A first pump may be configured to increase pressure of the gas to at least 10 atmospheres, e.g., at least 40 atmospheres, before delivering the gas to the first chamber. The containment enclosure may surround the first pump, and delivery lines connecting the first pump and the first chamber.
  • A second gas delivery system may be configured to introduce a second gas into the first chamber and to increase the pressure within the first chamber to at least 10 atmospheres while the second gas is in the first chamber and while the first chamber is isolated from the second chamber. The second gas delivery system may include a second pump configured to increase pressure of the second gas to at least 40 atmospheres before delivering the second gas to the first chamber.
  • The gas delivery system may include a steam delivery system that introduces steam to the first chamber.
  • One or more chemical sensors, e.g., hydrogen sensors, may be placed inside the containment enclosure, and wherein the controller may be configured to shut off the first pump if the controller receives a signal from one or more of the chemical sensors indicating a chemical leak.
  • A pedestal to support the substrate may be positioned within the first chamber. A heating element on the pedestal may be configured to apply heat to the substrate and to anneal the substrate when the substrate is supported on the pedestal.
  • A vacuum processing system may be configured to generate a pressure less than 1 atmosphere within the second chamber.
  • A robot arm may be configured to transfer the substrate through the valve assembly from the first chamber to the second chamber. The valve assembly may include a slit valve between the first chamber and the second chamber. The slit valve may include a slit through a wall between the first chamber and the second chamber, and an arm movable between a first position in which the arm covers the slit to form a seal between the first chamber and the second chamber and a second position in which the slit is uncovered and the substrate is transferrable through the slit valve from the first chamber to the second chamber. The arm may be configured to engage an inner surface of the wall defining the first chamber in the first position to compress an O-ring an form the seal between the first chamber and the second chamber. An actuator coupled to an end of the arm outside of the second chamber may move the arm relative to the slit. A gas channel may be internal to the arm. The gas channel may be connected to a cooling gas source on a first end.
  • A lift pin assembly may lift the substrate from the support. A cooling channel may deliver cool gas near the lift pin assembly and cool the lift pin assembly.
  • In another aspect, a semiconductor fabrication apparatus includes a central vacuum chamber having a robot positioned therein, a factory interface module coupled to the central vacuum chamber, a low-pressure processing chamber coupled to the central vacuum chamber by a first vacuum valve, and the high-pressure deposition system discussed above. The second chamber is coupled to the central vacuum chamber by a second vacuum valve.
  • Implementations may include one or more of the following advantages.
  • High pressure can be established in a chamber more safely. Leaks can be detected.
  • A layer can be treated or formed more uniformly across the substrate. In addition, high-pressure processing can also provide access to chemical reactions that are not available at lower pressures.
  • The details of one or more embodiments are set forth in the accompanying drawings and the description below. Other features, objects, and advantages will be apparent from the description and drawings, and from the claims.
  • DESCRIPTION OF DRAWINGS
  • FIG. 1 is a diagram of a processing platform.
  • FIG. 2 is a diagram of a high-pressure system.
  • FIG. 3 is a diagram of a high-pressure system with enhanced safety features.
  • FIG. 4 is a schematic side view of an example of a high-pressure deposition system.
  • FIG. 5 is a schematic side view of a pedestal.
  • Like reference symbols in the various drawings indicate like elements.
  • DETAILED DESCRIPTION
  • As noted above, some defects can result from incomplete processing of certain regions of a substrate. However, high-pressure processing (e.g., annealing or deposition) can improve consistency of processing across the substrate. In particular, annealing can occur in a high-pressure environment. Where a layer is formed through the annealing process, e.g., by thermal oxidation or other process in which chemistry diffuses into and reacts with the substrate, the high pressure can help improve thoroughness of surface coverage of the layer of material on the substrate. For example, problems of treatment formation of a layer in a trench can be reduced. As a result, a layer can be treated or formed more uniformly across the substrate. In addition, high-pressure processing (e.g., annealing or deposition) can also provide access to chemical reactions that are not available at lower pressures.
  • Another issue is that certain materials, such as copper, will rapidly oxidize when exposed to oxygen, at temperatures over about 70° C. If the copper or other material oxidizes, the substrate may no longer be useable, or the oxide layer must first be removed before further processing. These are both unacceptable options for efficient manufacturing. Accordingly, a design factor is to isolate the substrate from oxygen, when the substrate temperature is over about 70° C. Since oxygen is of course present in ambient air, avoiding oxidation of copper during annealing also can present engineering challenges. As described herein, the substrate can be transferred between the high-pressure processing chamber and different processing chambers in the low-pressure, e.g., near-vacuum, environment to avoid contamination and oxidation of the substrate.
  • The temperature uniformity of the wafer is another significant design factor as it affects the crystalline structure of copper or other materials on the wafer. The processing system, e.g., the pedestal configuration, can provide uniform heating of the wafer.
  • Another consideration is pressure. Very high pressures can improve the consistency and quality of the wafers produced. However, systems that have high pressure (e.g., above 10 atm, above 15 atm, or up to 20 atm) are at high risk of breach and loss of containment. A system with enhanced safety features is beneficial for use of such ultra-high pressure processing.
  • FIG. 1 shows an integrated multi-chamber substrate processing system suitable for performing at least one embodiment of physical vapor deposition, chemical vapor deposition, and/or annealing processes. In general, the multi-chamber substrate processing system includes at least one high-pressure processing chamber, e.g., able to operate at pressures above 40 atmospheres, to perform a high-pressure process such as deposition or annealing, and at least one low-pressure processing chamber, e.g., able to operate a low pressures, to perform a low-pressure process such as etching, deposition, or thermal treatment. In some implementations the multi-chamber processing system is a cluster tool having a central transfer chamber that is at low pressure and from which multiple processing chambers can be accessed.
  • Some embodiments of the processes and systems described herein relate to depositing layers of material, e.g., metal and metal silicide barriers, for feature definitions. For example, a first metal layer is deposited on a silicon substrate and annealed to form a metal silicide layer. A second metal layer is then deposited on the metal silicide layer to fill the feature. The annealing process to form the metal silicide layer may be performed in multiple annealing steps.
  • FIG. 1 is a schematic top view of one embodiment a processing platform 100 including two transfer chambers 102, 104, transfer robots 106, 108 positioned in the transfer chambers 102, 104, respectfully, and processing chambers 110, 112, 114, 116, 118, 130, disposed on the two transfer chambers 102, 104. The first and second transfer chambers 102, 104 are central vacuum chambers that interface with adjacent processing chambers 110, 112, 114, 116, 118, 130.
  • The first transfer chamber 102 and the second transfer chamber 104 are separated by pass-through chambers 120, which may comprise cooldown or pre-heating chambers. The pass-through chambers 120 also may be pumped down or ventilated during substrate handling when the first transfer chamber 102 and the second transfer chamber 104 operate at different pressures. For example, the first transfer chamber 102 may operate between about 100 milliTorr and about 5 Torr, such as about 40 milliTorr, and the second transfer chamber 104 may operate between about 1 x10-5 Torr and about 1 x10-8 Torr, such as about 1 x10-7 Torr.
  • The processing platform 100 is operated by a programmed controller 122. The controller 122 can control the transfer robots 106, 108 to transport the workpieces between the chambers, and can cause each of the chambers of the processing platform 100 to perform individual operations to process the substrate.
  • The first transfer chamber 102 is coupled with two degas chambers 124, two load lock chambers 128, a reactive pre-clean chamber 118, at least one physical vapor deposition chamber 110, and the pass-through chambers 120. The pre-clean chamber may be a PreClean II chamber, commercially available from Applied Materials, of Santa Clara, Calif. Substrates (not shown) are loaded into the processing platform 100 through load lock chambers 128. For example, a factory interface module 132, if present, would be responsible for receiving one or more substrates, e.g., wafers, cassettes of wafers, or enclosed pods of wafers, from either a human operator or an automated substrate handling system. The factory interface module 132 can open the cassettes or pods of substrates, if applicable, and move the substrates to and from the load lock chambers 128. The processing chambers 110, 112, 114, 116, 118, 130 receive the substrates from the transfer chambers 102, 104, process the substrates, and allow the substrates to be transferred back into the transfer chambers 102, 104. After being loaded into the processing platform 100, the substrates are sequentially degassed and cleaned in degas chambers 124 and the pre-clean chamber 118, respectively.
  • Each of the processing chambers are isolated from the transfer chambers 102, 104 by an isolation valve which allows the processing chambers to operate at a different level of vacuum than the transfer chambers 102, 104 and prevents any gasses being used in the processing chamber from being introduced into the transfer chamber. The load lock chambers 128 are also isolated from the transfer chamber 102, 104 with isolation valves. Each load lock chamber 128 has a door which opens to the outside environment, e.g., opens to the factory interface module 132. In normal operation, a cassette loaded with substrates is placed into the load lock chamber 128 through the door from the factory interface module 132 and the door is closed. The load lock chamber 128 is then evacuated to the same pressure as the transfer chamber 102 and the isolation valve between the load lock chamber 128 and the transfer chamber 102 is opened. The robot in the transfer chamber 102 is moved into position and one substrate is removed from the load lock chamber 128. The load lock chamber 128 is preferably equipped with an elevator mechanism so as one substrate is removed from the cassette, the elevator moves the stack of wafers in the cassette to position another wafer in the transfer plane so that it can be positioned on the robot blade.
  • The transfer robot 106 in the transfer chamber 102 then rotates with the substrate so that the substrate is aligned with a processing chamber position. The processing chamber is flushed of any toxic gasses, brought to the same pressure level as the transfer chamber, and the isolation valve is opened. The transfer robot 106 then moves the wafer into the processing chamber where it is lifted off the robot. The transfer robot 106 is then retracted from the processing chamber and the isolation valve is closed. The processing chamber then goes through a series of operations to execute a specified process on the wafer. When complete, the processing chamber is brought back to the same environment as the transfer chamber 102 and the isolation valve is opened. The transfer robot 106 removes the wafer from the processing chamber and then either moves it to another processing chamber for another operation or replaces it in the load lock chamber 128 to be removed from the processing platform 100 when the entire cassette of wafers has been processed.
  • The transfer robots 106, 108 include robot arms 107, 109, respectively, that support and move the substrate between different processing chambers. The transfer robot 106 moves the substrate between the degas chambers 124 and the pre-clean chamber 118. The substrate may then be transferred to the long throw PVD chamber 110 for deposition of a material thereon.
  • The second transfer chamber 104 is coupled to a cluster of processing chambers 116, 112, 114, 130. The processing chambers 116, 112 may be chemical vapor deposition (CVD) chambers for depositing materials, such as tungsten, as desired by the operator. The PVD processed substrates are moved from the first transfer chamber 102 into the second transfer chamber 104 via the pass-through chambers 120. Thereafter, the transfer robot 108 moves the substrates between one or more of the processing chambers 116, 112, 114, 130 for material deposition and annealing as required for processing.
  • Of course, all of the above is simply an exemplary implementation; each transfer chamber could have just a different number of processing chambers, e.g., one to five chambers, the processing chambers could have different distribution of functions, the system could have a different number of transfer chambers, e.g., just a single transfer chamber, and the transfer chambers could be omitted entirely and the system could have just a single stand-alone processing chamber.
  • FIG. 2 illustrates a controlled high-pressure system 200 that creates a high-pressure environment for processing a substrate and a low-pressure environment for the substrate when the substrate is being transferred between processing chambers. The controlled high-pressure system 200 includes a first high-pressure chamber 202 and a second vacuum chamber 204. The first chamber 202 can correspond to one of the processing chambers 110, 112, 114, 116, 118, 130 of the processing platform 100, and the second chamber 204 can correspond to one of the transfer chambers 102, 104 of the processing platform 100. Alternatively, in some implementations, one of the processing chambers 110, 112, 114, 116, 118, 130 includes both the first chamber 202 and the second chamber 204. The first chamber 202 can correspond to an inner chamber, and the second chamber 204 can correspond to an outer chamber surrounding the inner chamber.
  • The pressure within the first chamber 202 can be controlled independently of the pressure in the second chamber 204. If the first and second chambers 202, 204 are distinct from the transfer chambers, the first and second chambers 202, 204 can have pressures that are controlled independently of the pressures within the transfer chambers. The controlled high-pressure system 200 further includes a gas delivery system 206, a vacuum processing system 208, and a controller 210. In some examples, the controller 122 of the processing platform 100 can include the controller 210.
  • The second chamber 204 is a relatively low pressure chamber adjacent to the first chamber 202. In some implementations, the second chamber 204 also surrounds the first chamber 202. The second chamber 204 can correspond to a transfer chamber, e.g., the transfer chamber 102 or the transfer chamber 104, which receives the substrate between different processing chambers. The low pressure environment of the second chamber 204 can inhibit contamination and/or oxidation of the substrate or the material deposited on the substrate.
  • The gas delivery system 206 is operated to pressurize and depressurize the first chamber 202. The first chamber 202 is a high-pressure processing chamber that receives a precursor gas from the gas delivery system 206 and establishes a high pressure, e.g., at a pressure of at least 10 atmospheres, (e.g., above 15 atm, above 20 atm). The precursor gas can react with the workpiece, e.g., a layer on the workpiece, or serve as a source for the material to be deposited on the workpiece. To pressurize the first chamber 202, the gas delivery system 206 introduces the precursor gas into the first chamber 202. The gas delivery system 206 can also introduce steam into the first chamber 202 to increase the pressure within the first chamber 202.
  • The gas delivery system 206 includes an exhaust system 211 to exhaust the precursor gas and steam from the first chamber 202, thereby depressurizing the first chamber 202. The vacuum processing system 208 is operated to control the pressure of the second chamber 204 to be at a vacuum or near-vacuum pressure, e.g., less than 1 milliTorr. The vacuum processing system 208 lowers a pressure within the second chamber 204 to near vacuum, thereby creating the appropriate low pressure environment for transfer of the substrate. During operation, the ultra-high pressures achieved in the first chamber 202 (e.g., above 10 atm, above 15 atm) require a corresponding higher pressure in the second chamber 204 (below about 1 atm (e.g., approximately 0.85 atm or 640 torr).
  • A valve assembly 212 between the first chamber 202 and the second chamber 204 isolates the pressure within the first chamber 202 from the pressure within the second chamber 204. The high-pressure environment within the first chamber 202 can thus be separated and sealed from the low pressure environment within the second chamber 204. The valve assembly 212 is openable to enable the substrate to be transferred from the first chamber 202 directly into the second chamber 204 or to enable the substrate to be transferred from the second chamber 204 directly into the first chamber 202.
  • The high-pressure system 200 includes a foreline 214 connected to a transfer chamber, e.g., one of the transfer chambers 102, 104, and connected to an outside environment. An isolation valve 216 is arranged along the foreline 214 to isolate the pressure within the second chamber 204 from the pressure of the outside environment. The isolation valve 216 can be operated to adjust the pressure within the second chamber 204 and to release gases within the second chamber 204. The isolation valve 216 can be operated in conjunction with the vacuum processing system 208 to regulate the pressure within the second chamber 204. The isolation valve 216 can be operated in conjunction with the gas delivery system 206 and exhaust system 211 to increase the overall safety of the high-pressure system 200 at multipole points.
  • FIG. 3 is a schematic diagram a high-pressure processing system that includes pressure isolation for increased safety. Referring to FIG. 3, one embodiment of a high-pressure system 300 includes a first chamber 302 a second chamber 304 as described with respect to FIGS. 1 and 2. The first chamber 302 and second chamber 304 can be similar to the first chamber 202 and second chamber 204, except as described below.
  • The inner chamber includes a pedestal 318 that supports a substrate 326 which is to be processed, e.g., subject to annealing or on which a layer of material is to be deposited. The pedestal 318 is positioned or positionable within the first chamber 302. In some implementations, the substrate 326 sits directly on a flat top surface of the pedestal 318. In some implementations, the substrate 326 sits on lift pins that project from the pedestal 318.
  • A gas delivery system 306 is operated to pressurize and depressurize the first chamber 302 that receives gas from the gas delivery system 306 and establishes a high pressure, e.g., at a pressure of up to 75 or 80 psi. To pressurize the first chamber 302, the gas delivery system 306 introduces the gas into the first chamber 302. The gas is supplied from a first processing gas delivery module 342 to deliver a first high pressure gas and/or from a second processing gas delivery module 346 to deliver a second pressure gas of different composition that the first high pressure gas. The first gas can be H2; the first processing gas delivery module 342 is a high pressure H2 gas delivery module. The second gas can be NH3; the first processing gas delivery module 345 is a high pressure NH3 gas delivery module 346. The gas delivery system 306 can also introduce steam into the first chamber 302 supplied from high pressure steam delivery module 344 to increase the pressure within the first chamber 302. The delivery modules 342, 344, 346 are connected to facility supplies or gas tanks that supply the respective gases. The delivery modules 342, 344, 346 are connected to the first chamber 302 by a first delivery line 352, second delivery line 354, and third delivery line 356.
  • The first high pressure gas delivery module 342 includes a first pump 372 and the second high pressure gas delivery module 346 has a second pump 376. The first pump 372 integrated into the first delivery module 342 increases the pressure of the incoming first gas, e.g., the hydrogen gas. The first gas can be supplied to the first gas delivery module 342 at a relatively low pressure, e.g., 25-40 psi (approximately 1.7-2.7 atm). The first pump 372 can increase the pressure by an order of magnitude, in some cases up as high as 750 psi.
  • Similarly, the second pump 376 integrated into the second delivery module 346 increases the pressure of the incoming second gas, e.g., the ammonia gas. The gas can be supplied to the second delivery module 346 at a relatively low pressure and the second pump 376 can increase the pressure, e.g., above 10 atm, above 15 atm, or as high as 50 atm. The first gas delivery module 342 and the second gas delivery module 346 each have separate pumps 372, 376. In some instances only one pump is necessary and is included in the system 300.
  • The first gas delivery module 342 and the second gas delivery module 346 with their respective pumps 372, 376 as well as the steam delivery module 344 are contained in separate housings 362, 366, and 364 respectively. In some implementations, the respective housings can be both physically and fluidly unconnected to enhance the safety of the system 300.
  • To further increase the safety of the system 300, each of the delivery modules 342, 344, 346 are enclosed within a containment assembly. The containment assembly includes at least a containment enclosure 360 that encloses the connections of the delivery lines 352, 354, 356 to the chambers 302, 304.
  • In some implementations, the containment assembly includes multiple parts each of which is a pressure-containing enclosure that surrounds and encapsulates a respective gas delivery module. For example, the first gas delivery module 342 can be enclosed in a first containment enclosure, the steam delivery module 344 in a second enclosure and the second gas delivery module in a third enclosure. In some instances, these physically separate sub-parts of containment assembly can correspond to the housings 362, 364, 366.
  • Alternatively, e.g., as shown in FIG. 3, the delivery modules 342, 344, 346 can be enclosed by a common containment enclosure 360. In this case, the housings 362, 364, 366 can be portions of the common containment enclosure 360. The housing 362, 364, 366 can be coupled to the portion 360 a of the containment enclosure 360 that encloses the connections of the delivery lines 352, 354, 356 to the chambers 302, 304. In particular, the delivery lines 352, 354, 356 can be enclosed in respective conduits 352 a, 354 a, 356 a that extend between the housings 362, 364, 366, respectively, and the portion 360 a.
  • The containment enclosure 360 can also include the outer chamber 304, and can be fluidly connected to the exhaust system 311 that exhausts the gas and steam from the second chamber 304. The exhaust system 311 includes isolation valve 316 and foreline 314.
  • The containment enclosure 360 can also include a containment exhaust line 368. The containment exhaust line 368 encloses the exhaust line 370 for the first chamber 302 that connects the first chamber 302 to the exhaust system 311. The containment exhaust line 368 surrounds the exhaust line 370 and connects the containment enclosure 360 to the exhaust system 311.
  • A breach in any of the pressurized components within the containment enclosure 360 can be contained by containment enclosure 360. In some instances the breaching gases are funneled by exhaust line 368 to the foreline 314, where the breaching gases can be safely vented from the system 300.
  • Each one of the delivery lines 352, 354, 356 are themselves contained within containment enclosure 360, and each line delivery line 352, 354, 356 has a pressure relief line within the containment enclosure 360. Any pressure buildup inside delivery lines 352, 354, 356 that is released by the pressure relief line will flow into the containment enclosure 360 and be removed from the system 300, e.g., by containment exhaust line 368, or in some instances via separate exhaust channels 369 connected to exhaust system 311.
  • The system 300 also includes a pressure relief line 382 that is coupled to the inner chamber 302. If the pressure in the inner chamber 302 exceeds permissible levels, gas that is released by the pressure relief line 382 will flow into the outer chamber 304 and be removed from the system 300 by the exhaust line 369, or flow into the containment enclosure 360 and be removed from the system 300 by containment exhaust line 368, or in some instances via separate exhaust channels connected to exhaust system 311.
  • Thus, all pressurized components can be contained within containment enclosure 360 so that the system 300 can relieve unexpected leaks, ruptures, or breaches without ever exposing pressurized gas the atmosphere.
  • Multiple chemical sensors 380, e.g., gas sensors, are included in the system 300. In particular, due to volatility, the gas sensors can be hydrogen sensors. A gas sensor 380 is integrated into possible leak locations, e.g., inside containment enclosure 360, and inside exhaust line 368. If any hydrogen sensor 380 detects a hydrogen leak, the controller will detect the signal from the sensor 380 and will shut off the pumps 372, 366.
  • In some instances, the containment enclosure 360 connects to the foreline 314, which in turn connects to a dry line pump 378. If one of the pressurized units, e.g., delivery lines 352, 354, 356, leaks, the leaking gas is delivered to the foreline 314 and the gas then flows through the dry line pump 378. To accommodate the pressure (e.g., prevent the high pressure from breaching the dry line pump 378) the gas is expanded before reaching the dry line pump 378. In some instances the gas flows through a large diameter diffuser, e.g., 20 inch by 5 ft. tall diffuser.
  • The second chamber 304 is maintained at a lower pressure than the first chamber 302, for example at approximately 12 psi. Any leaks in the second chamber 304 are also routed through the dry line pump 378.
  • To treat or deposit a layer of material on the substrate 326, the controller can operate the vacuum processing system to depressurize the second chamber 304 to a low-pressure state, e.g., to a state in which the second chamber 304 has a pressure less than 1 atmosphere, to prepare for transfer of the substrate 326 through the second chamber 304. The low-pressure state can be a near-vacuum state, e.g., a pressure less than 1 milliTorr. The substrate 326 is moved through the second chamber 304 by a transfer robot, e.g., one of the transfer robots 106, 108 in FIG. 1, while the second chamber 304 is at the low-pressure so that contamination and oxidation of the substrate 326 can be inhibited.
  • The substrate 326 is transferred into the first chamber 302 for processing. To transfer the substrate 326 into the first chamber 302, the controller can operate the valve assembly 212, e.g., open the valve assembly 212 to provide an opening through which the substrate 326 can be transferred into the first chamber 302. The controller can operate the transfer robot to carry the substrate 326 into the first chamber 302 and to place the substrate 326 on the pedestal 318.
  • After the substrate 326 is transferred into the first chamber 302, the controller can operate the valve assembly 212 to close the opening, e.g., close the valve assembly 212, thereby isolating the first and second chambers 302, 304 from one another. With the valve assembly 212 closed, pressures in the first chamber 302 and the second chamber 304 can be set to different values. The controller can operate the gas delivery system 306 to introduce the gas into the first chamber 302 to pressurize the first chamber 302 and to deposit the layer of material onto the substrate 326. The introduction of the gas can increase the pressure within the first chamber 302 to, for example, 10 atmospheres or more.
  • The gas can be an annealing gas that reacts with the layer on the substrate 326. Alternatively, the gas can include the material to be deposited onto the substrate 32. The proper temperature and pressure conditions in the first chamber 302 can cause the annealing or deposition of the material to occur. During processing, e.g., annealing or deposition, the controller can operate the one or more heating elements 320 on the pedestal 318 to add heat to the substrate 326 to facilitate processing of the layer of material on the substrate 326.
  • When processing of the layer of material on the substrate 326 is complete, the substrate 326 can be removed from the first chamber 302 using the transfer robot and, if necessary, transferred to a subsequent process chamber. To prepare for transfer of the substrate 326 out of the first chamber 302, the controller can operate the exhaust system of the gas delivery system 306 to depressurize the first chamber 302 before the valve assembly 212 is opened. In particular, before the substrate 326 is transferred out of the first chamber 302, the gas is exhausted from the first chamber 302 to reduce the pressure within the first chamber 302. The pressure can be reduced to a near-vacuum pressure such that the pressure differential between the first chamber 302 and the second chamber 304 can be minimized.
  • The valve assembly 212 between the first chamber 202 and the second chamber 204 isolates the pressure within the first chamber 202 from the pressure within the second chamber 204. The high-pressure environment within the first chamber 202 can thus be separated and sealed from the low pressure environment within the second chamber 204. The valve assembly 212 is openable to enable the substrate to be transferred from the first chamber 202 directly into the second chamber 204 or to enable the substrate to be transferred from the second chamber 204 directly into the first chamber 202.
  • Referring to FIG. 4, a high-pressure deposition system 400 further includes a valve assembly 416 between the first chamber 402 and the second chamber 404 that, similar to the valve assembly 212 of FIG. 2, isolates the first chamber 402 from the second chamber 404. The second chamber 404 can be defined by volume between inner walls 420 and outer walls 424. In addition, a substrate 326 is also supportable on a pedestal 418 that has heater elements 484. The substrate can sit directly on the pedestal 418, or sit on a lift pin assembly 430 that extend through the pedestal.
  • The valve assembly 416 is formed by an arm 425 movable relative to the inner walls 420 and the base 422 of the first chamber 402. The arm 425 can movable relative to the outer walls 420 and the base 422 of the first chamber 402. In particular, the valve assembly 416 includes a slit valve 423 between the first chamber 402 and the second chamber 404. The slit valve 423 includes a slit 423 a and the arm 425. The slit 423 a extends through one of the inner walls 420 of the first chamber 402. A vertical end 425 a of the arm 425 is positioned outside of the first chamber 402 while a horizontal end 425 b of the arm 425 is positioned within the first chamber 402. The vertical end 425 a of the arm 425 can be positioned within the second chamber 404 and be driven by an actuator positioned within the second chamber 404. Alternatively, the vertical end 425 a of the arm 425 is positioned outside of the second chamber 404 and is thus driven by an actuator 428 that is also positioned outside of the second chamber 404.
  • The arm 425 extends through the slit 423 a and is movable relative to the walls 420 so that the arm 425 can be moved to a position in which it forms a seal with the walls 420. The actuator 428 is coupled to the vertical end 425 a of the arm 425 and drives the horizontal end 425 b of the arm 425 relative to the walls 420. The arm 425 is movable vertically to cover or uncover the slit 423 a. In particular, the vertical end 425 a of the arm 425 can be or include a flange that extends substantially parallel to the adjacent inner surface of the inner wall 420. The arm 425 can also be driven laterally so that the horizontal end 425 b of the arm 425 can engage or disengage the wall 420. The arm 425 can also extend through an aperture 426 in the outer wall 424.
  • The valve assembly 416 is movable between an open position and a closed position. When the valve assembly 416 is in the open position, the horizontal end 425 b of the arm 425 is spaced laterally apart from the wall 420, e.g., the inner surface of the wall 420. In addition, the horizontal end 425 b of the arm 425 is positioned vertically so that the slit 423 a is uncovered. The slit 423 a thus provides an opening that enables fluidic communication between the first chamber 402 and the second chamber 404 and that also enables the substrate 426 to be moved in and out of the first chamber 402, e.g., by a robot as discussed above. When the valve assembly 416 is in the closed position, the horizontal end 425 b of the arm 425 covers the slit 423 a and contacts one of the walls 420, thereby forming the seal to isolate the first chamber 402 from the second chamber 404. When pressurized, the flange or horizontal end 425 b contacts an inner surface of the wall 420 defining the first chamber 402. An O-ring is placed along the circumference of the horizontal end 425 b on the surface that contacts the wall 420, helping to reinforcing the seal of containment when the first chamber 402 is pressurized.
  • The heater elements 484 in the pedestal 418 heat the gas in the first chamber 402 to up to 250° C. To prevent damage to the O-ring, the arm 425 includes internal gas channel 480. The internal gas channel 480 is supplied from cooling gas supply 482 and is a conduit to let the cooling gas flow through the arm 425. The internal gas channel 480 can extend through the horizontal end 425 b, or through both the horizontal end 425 b and the vertical end 425 a. The internal gas channel and cooling gas supply 482 can be configured such that when the valve assembly 416 is in the open position no gas is available from the cooling gas supply 482, preventing cooling gas flow when transfer of the substrate is occurring.
  • Referring to FIG. 5, the lift pin assembly 430 can be integrated into a pedestal 500 with heating elements. The pedestal 500 can, for example, correspond to one of the pedestals 418 described. The pedestal 500 includes a lift pin assembly 502 (e.g., lift pin assembly 430) having a lift pin 504, which is disposed at least partially in an opening 506 defined in plates 508, 510. The lift pin 504 is used to lift the substrate from the pedestal 500 such that a transfer robot, e.g., one of the transfer robots 106, 108, can access and move the substrate out of a chamber. The lift pin 504 is driven by an actuator 505 from a first position in which the lift pin 504 is recessed within the pedestal 500 to a second position in which the lift pin 504 protrudes from the pedestal 500.
  • Due to the radiation and conduction from the pedestal, the area around the lift pin assembly 502 will be hot, e.g., 150° C. or 200° C. Various cooling mechanisms for the lift pin assembly 502 are possible. In one example, the walls 524 can have gas channels 520 that bring cooling gas (e.g., H2 at below 100° C.) to the region of the lift pin assembly 502.
  • A number of embodiments of the invention have been described. Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the invention. For example, while the foregoing describes the formation of a metal silicide layer from a cobalt or nickel layer film, in some implementations, other materials can be used. For example, other materials can include titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, and combinations thereof, and other alloys including nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys, to form the metal silicide material as described herein.
  • Although described above in the context of a deposition system, depending on the gasses provided, the high-pressure chamber can be used for an etching system. Alternatively, the high-pressure chamber can be filled with an inert gas, and the high-pressure chamber can be used purely for heat treatment at high pressure. The processing platforms described herein can include other types of processing chambers. For example, a processing platform can include an etching chamber to etch patterns onto a surface of a substrate.
  • Each of the different chambers of a processing platform can have varying pressure environments, ranging from near-vacuum to more than 50 atmospheres. The isolation valves, e.g., vacuum valves, between the chambers can isolate the pressures from one another such that these varying pressure environments can be maintained within each chamber.
  • Accordingly, other embodiments are within the scope of the following claims.

Claims (20)

What is claimed is:
1. A high-pressure processing system comprising:
a first chamber comprising having a support to hold substrate in the first chamber while processing;
a first slit valve assembly for sealing the first chamber; and
a second chamber surrounding the first chamber and the first slit valve, wherein the first slit valve assembly is operable to selectively isolate the first chamber from the second chamber.
2. The high-pressure processing system of claim 1, further comprising a second slit valve assembly for sealing the second chamber.
3. The high-pressure processing system of claim 1, further comprising a gas delivery system configured to pressurize and depressurize the first chamber.
4. The high-pressure processing system of claim 3, wherein the gas delivery system comprises a first delivery line coupled to the first chamber; and wherein the gas delivery system is configured to introduce a first gas into the first chamber via the first delivery line.
5. The high-pressure processing system of claim 4, wherein the gas delivery system comprises a second delivery line coupled to the first chamber; and wherein the gas delivery system is configured to introduce a second gas into the first chamber via the second delivery line.
6. The high-pressure processing system of claim 4, wherein the first delivery line is connected to a top of the first chamber that is surrounded by the second chamber.
7. The high-pressure processing system of claim 4, wherein the first delivery line passes through a top of the second chamber.
8. The high-pressure processing system of claim 4, wherein the gas delivery system is configured to pressurize the first chamber to at least 10 atmospheres, and wherein the high-pressure processing system further comprises a vacuum processing system configured lower a pressure within the second chamber to near vacuum.
9. A method for operating a processing system, the method comprising:
loading a substrate on a support disposed in a first chamber by robotically passing the substrate through a second chamber and a first slit valve, the second chamber surrounding the first slit valve and first chamber;
closing the first slit valve to isolate the substrate in the first chamber from an interior of the second chamber; and
processing the substrate in the first chamber.
10. The method of claim 9 further comprising depressurizing the second chamber before passing the substrate through the second chamber.
11. The method of claim 10 further comprising increasing a pressure the first chamber after closing the first slit valve.
12. The method of claim 11, wherein pressuring the first chamber comprises introducing a gas into the first chamber to increase the pressure of the first chamber.
13. The method of claim 12, wherein the pressure within the first chamber is increased to about 10 atmospheres.
14. The method claim 11, further comprising introducing steam into the first chamber to increase the pressure within the first chamber.
15. The method of claim 11 further comprising:
depressurizing the first chamber after processing the first chamber by exhausting gas out of the first chamber;
opening the first slit valve; and
removing the processed substrate by robotically passing the processed substrate through the second chamber and the slit valve.
16. The method of claim 15, wherein depressurizing the first chamber comprises reducing a pressure within the first chamber to at least near-vacuum.
17. The method of claim 9, wherein loading the substrate on the support further comprises passing the substrate from a transfer chamber through a valve of the second chamber.
18. A semiconductor fabrication apparatus, comprising:
a central chamber;
a high-pressure processing system coupled with the central chamber, the high-pressure processing system comprising:
a first chamber comprising having a support to hold a substrate in the first chamber while processing;
a first slit valve assembly for sealing the first chamber; and
a second chamber surrounding the first chamber and the first slit valve, wherein the first slit valve assembly is operable to selectively isolate the first chamber from the second chamber; and
a transfer robot positioned within the central chamber, the transfer robot configured to:
load the substrate on the support by passing the substrate from the central chamber and through the second chamber and the first slit valve.
loading a substrate on a support disposed in a first chamber by robotically passing the substrate through a second chamber and a first slit valve, the second chamber surrounding the first slit valve and first chamber;
closing the first slit valve to isolate the substrate in the first chamber from an interior of the second chamber; and
processing the substrate in the first chamber.
19. The semiconductor fabrication apparatus of claim 18 further comprising a controller configured to:
control the transfer robot to load the substrate on the support;
close the first slit valve to isolate the substrate in the first chamber from an interior of the second chamber; and
process the substrate in the first chamber.
20. The semiconductor fabrication apparatus of claim 19, wherein the controller is further configured to:
depressurize the second chamber before loading the substrate on the support;
pressurize the first chamber after closing the first slit valve by introducing a gas into the first chamber; and
depressurize the first chamber after processing by exhausting the gas from the first chamber.
US16/230,637 2017-07-14 2018-12-21 Gas delivery system for high pressure processing chamber Pending US20190119769A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/230,637 US20190119769A1 (en) 2017-07-14 2018-12-21 Gas delivery system for high pressure processing chamber

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762532715P 2017-07-14 2017-07-14
US15/835,378 US10179941B1 (en) 2017-07-14 2017-12-07 Gas delivery system for high pressure processing chamber
US16/230,637 US20190119769A1 (en) 2017-07-14 2018-12-21 Gas delivery system for high pressure processing chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/835,378 Continuation US10179941B1 (en) 2017-07-14 2017-12-07 Gas delivery system for high pressure processing chamber

Publications (1)

Publication Number Publication Date
US20190119769A1 true US20190119769A1 (en) 2019-04-25

Family

ID=64953616

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/835,378 Active US10179941B1 (en) 2017-07-14 2017-12-07 Gas delivery system for high pressure processing chamber
US16/230,637 Pending US20190119769A1 (en) 2017-07-14 2018-12-21 Gas delivery system for high pressure processing chamber

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/835,378 Active US10179941B1 (en) 2017-07-14 2017-12-07 Gas delivery system for high pressure processing chamber

Country Status (8)

Country Link
US (2) US10179941B1 (en)
EP (2) EP4246561A3 (en)
JP (3) JP7033647B2 (en)
KR (3) KR102310838B1 (en)
CN (2) CN111066132B (en)
SG (1) SG11201912787RA (en)
TW (1) TWI724308B (en)
WO (1) WO2019013920A1 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10957533B2 (en) 2018-10-30 2021-03-23 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US10998200B2 (en) 2018-03-09 2021-05-04 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11018032B2 (en) 2017-08-18 2021-05-25 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11110383B2 (en) 2018-08-06 2021-09-07 Applied Materials, Inc. Gas abatement apparatus
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
KR102132756B1 (en) * 2017-12-06 2020-07-13 주식회사 엘지화학 Separator coating slurry composition for secondary battery and seperator for secondary battery comprising the same
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
DE102018120580A1 (en) * 2018-08-23 2020-02-27 Infineon Technologies Ag DEVICE AND METHOD FOR DEPOSITING A LAYER AT ATMOSPHERIC PRESSURE
KR102640172B1 (en) 2019-07-03 2024-02-23 삼성전자주식회사 Processing apparatus for a substrate and method of driving the same
US11718912B2 (en) 2019-07-30 2023-08-08 Applied Materials, Inc. Methods and apparatus for calibrating concentration sensors for precursor delivery
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
WO2022201831A1 (en) * 2021-03-25 2022-09-29 株式会社Screenホールディングス Exhaust control method for processing liquid cabinet and substrate processing device
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
JP2003243374A (en) * 2002-02-20 2003-08-29 Tokyo Electron Ltd Substrate-treating apparatus and method therefor
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US20090114296A1 (en) * 2005-06-10 2009-05-07 Tokyo Electron Limited Valve element, valve, selector valve, and trap device

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0743079B2 (en) * 1985-02-19 1995-05-15 松下電器産業株式会社 Vacuum processing device
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (en) 1989-04-10 1998-03-25 忠弘 大見 Tungsten film forming equipment
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH0714299U (en) * 1993-08-10 1995-03-10 日本セミコンダクター株式会社 Gas supply piping
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
JP3684624B2 (en) * 1995-08-02 2005-08-17 ソニー株式会社 Reaction gas supply device
JPH09296267A (en) * 1995-11-21 1997-11-18 Applied Materials Inc Apparatus for production of metallic path for semiconductor substrate by high-pressure extrusion and method thereof
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (en) 1996-03-01 1998-04-30 히가시 데츠로 Substrate drying apparatus and substrate drying method
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6140235A (en) * 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP2001053066A (en) 1999-05-28 2001-02-23 Tokyo Electron Ltd Ozone processor and ozone processing method
CA2387373A1 (en) 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (en) * 1999-11-12 2006-09-29 삼성전자주식회사 Bake unit for semiconductor photolithography process
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (en) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc Equipment and method for treating substrate
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (en) 2003-03-07 2007-08-08 東京エレクトロン株式会社 Method for forming tungsten film
JP4173781B2 (en) 2003-08-13 2008-10-29 株式会社神戸製鋼所 High pressure processing method
KR20050062751A (en) * 2003-12-22 2005-06-27 어댑티브프라즈마테크놀로지 주식회사 Chamber apparatus having double doors gate valve
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
JP2005264226A (en) * 2004-03-18 2005-09-29 Mitsui Eng & Shipbuild Co Ltd Plasma treatment device
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
WO2006091588A2 (en) * 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
JP4747693B2 (en) 2005-06-28 2011-08-17 住友電気工業株式会社 Method for forming resin body, method for forming structure for optical waveguide, and method for forming optical component
KR100696178B1 (en) 2005-09-13 2007-03-20 한국전자통신연구원 Optical waveguide master and manufacture method of the same
CN101268012B (en) 2005-10-07 2012-12-26 株式会社尼康 Fine structure body and method for manufacturing same
KR101101757B1 (en) * 2005-11-07 2012-01-05 주성엔지니어링(주) Vacuum chamber which economizes manufacturing cost
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR20070068596A (en) * 2005-12-27 2007-07-02 삼성전자주식회사 A baking apparatus
KR100684910B1 (en) * 2006-02-02 2007-02-22 삼성전자주식회사 Apparatus for treating plasma and method for cleaning the same
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
JP2007242791A (en) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc Substrate treatment apparatus
JP2008192642A (en) 2007-01-31 2008-08-21 Tokyo Electron Ltd Substrate processing apparatus
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (en) 2008-03-31 2011-11-16 株式会社日立製作所 Fine structure transfer stamper and fine structure transfer apparatus
CN101620988A (en) * 2008-06-30 2010-01-06 佳能安内华股份有限公司 Stacked load lock chamber and substrate processing apparatus including the same
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
KR20110000960A (en) 2009-06-29 2011-01-06 삼성전자주식회사 Semiconductor chip, stack module, memory card, and method of fabricating the same
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102136407B (en) * 2009-12-31 2013-11-06 丽佳达普株式会社 Guide rack of substrate processing device
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP5697534B2 (en) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 Method for manufacturing transistor
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5256328B2 (en) * 2010-10-28 2013-08-07 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
JP5806827B2 (en) 2011-03-18 2015-11-10 東京エレクトロン株式会社 Gate valve apparatus, substrate processing apparatus and substrate processing method thereof
WO2012134025A1 (en) 2011-03-25 2012-10-04 Lee Seo Young Lightwave circuit and method for manufacturing same
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP2013122493A (en) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The Optical branching element and optical branching circuit
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (en) 2012-06-27 2013-01-22 (주)이노시티 Apparatus for process chamber
KR20140003776A (en) 2012-06-28 2014-01-10 주식회사 메카로닉스 Preparation of a high resistivity zno thin film
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (en) 2012-07-19 2014-02-03 Tokyo Electron Ltd Method of depositing tungsten film
JP5499225B1 (en) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 Semiconductor structure comprising aluminum nitride oxide film on germanium layer and method for manufacturing the same
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
KR101443792B1 (en) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 Gas Phase Etcher Apparatus
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
WO2014192871A1 (en) 2013-05-31 2014-12-04 株式会社日立国際電気 Substrate processing apparatus, method for manufacturing semiconductor manufacturing apparatus, and furnace opening cover body
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
JP6226648B2 (en) 2013-09-04 2017-11-08 昭和電工株式会社 Method for manufacturing SiC epitaxial wafer
KR20150062545A (en) * 2013-11-29 2015-06-08 삼성전기주식회사 Bake Apparatus
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (en) 2014-04-23 2015-11-25 주식회사 풍산 Method of forming spin on glass type insulation layer using high pressure annealing
US9257314B1 (en) * 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
DE112014006932T5 (en) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (en) * 2015-05-15 2016-12-02 세메스 주식회사 method and Apparatus for Processing Substrate
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
JP6856651B2 (en) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Nanowire Manufacturing Methods for Horizontal Gate All-Around Devices for Semiconductor Applications
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
JP2003243374A (en) * 2002-02-20 2003-08-29 Tokyo Electron Ltd Substrate-treating apparatus and method therefor
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US20090114296A1 (en) * 2005-06-10 2009-05-07 Tokyo Electron Limited Valve element, valve, selector valve, and trap device

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11018032B2 (en) 2017-08-18 2021-05-25 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US10998200B2 (en) 2018-03-09 2021-05-04 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11110383B2 (en) 2018-08-06 2021-09-07 Applied Materials, Inc. Gas abatement apparatus
US10957533B2 (en) 2018-10-30 2021-03-23 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Also Published As

Publication number Publication date
KR20220100737A (en) 2022-07-15
TW201909313A (en) 2019-03-01
EP3652778A1 (en) 2020-05-20
JP7033647B2 (en) 2022-03-10
JP2020526925A (en) 2020-08-31
JP7368519B2 (en) 2023-10-24
WO2019013920A1 (en) 2019-01-17
JP2022084631A (en) 2022-06-07
KR102545208B1 (en) 2023-06-20
KR20200019775A (en) 2020-02-24
CN111066132A (en) 2020-04-24
SG11201912787RA (en) 2020-01-30
KR102310838B1 (en) 2021-10-12
JP2024009941A (en) 2024-01-23
US20190017131A1 (en) 2019-01-17
CN117373948A (en) 2024-01-09
EP4246561A3 (en) 2023-10-25
EP4246561A2 (en) 2023-09-20
TWI724308B (en) 2021-04-11
CN111066132B (en) 2023-09-29
EP3652778A4 (en) 2021-04-21
KR20210123424A (en) 2021-10-13
EP3652778B1 (en) 2023-08-09
US10179941B1 (en) 2019-01-15
KR102420059B1 (en) 2022-07-13

Similar Documents

Publication Publication Date Title
US10179941B1 (en) Gas delivery system for high pressure processing chamber
US11756803B2 (en) Gas delivery system for high pressure processing chamber
KR102509015B1 (en) High pressure wafer processing systems and related methods
CN117936420A (en) Gas delivery system for high pressure processing chamber
CN117936417A (en) Gas delivery system for high pressure processing chamber

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICROMATERIALS, LLC., DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KHAN, ADIB;LIANG, QIWEI;MALIK, SULTAN;AND OTHERS;REEL/FRAME:047845/0964

Effective date: 20180313

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED