KR102585074B1 - 고압 프로세싱 챔버를 위한 가스 전달 시스템 - Google Patents

고압 프로세싱 챔버를 위한 가스 전달 시스템 Download PDF

Info

Publication number
KR102585074B1
KR102585074B1 KR1020227014985A KR20227014985A KR102585074B1 KR 102585074 B1 KR102585074 B1 KR 102585074B1 KR 1020227014985 A KR1020227014985 A KR 1020227014985A KR 20227014985 A KR20227014985 A KR 20227014985A KR 102585074 B1 KR102585074 B1 KR 102585074B1
Authority
KR
South Korea
Prior art keywords
chamber
pressure
gas
substrate
gas delivery
Prior art date
Application number
KR1020227014985A
Other languages
English (en)
Other versions
KR20220065077A (ko
Inventor
키웨이 리앙
스리니바스 디. 네마니
션 에스. 캉
아딥 칸
엘리 와이. 이예
Original Assignee
마이크로머티어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크로머티어리얼즈 엘엘씨 filed Critical 마이크로머티어리얼즈 엘엘씨
Priority to KR1020237032887A priority Critical patent/KR20230144106A/ko
Publication of KR20220065077A publication Critical patent/KR20220065077A/ko
Application granted granted Critical
Publication of KR102585074B1 publication Critical patent/KR102585074B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템은, 제1 챔버; 제1 챔버에서 기판을 홀딩하기 위한 지지부; 제1 챔버에 인접한 제2 챔버; 제2 챔버로부터 가스를 제거하기 위한 포어라인; 제2 챔버 내의 압력을 거의 진공까지 낮추도록 구성된 진공 프로세싱 시스템; 제2 챔버 내의 압력으로부터 제1 챔버 내의 압력을 격리시키기 위해 제1 챔버와 제2 챔버 사이에 있는 밸브 조립체; 제1 챔버가 제2 챔버로부터 격리되어 있는 동안, 제1 챔버 내의 압력을 적어도 10 기압까지 증가시키도록 구성된 가스 전달 시스템; 제1 챔버로부터 가스를 제거하기 위한 배기 라인을 포함하는 배기 시스템; 및 제1 가스 전달 모듈과 제2 가스 전달 모듈 둘 모두를 둘러싸는 공통 하우징을 포함한다.

Description

고압 프로세싱 챔버를 위한 가스 전달 시스템{GAS DELIVERY SYSTEM FOR HIGH PRESSURE PROCESSING CHAMBER}
[0001] 본 발명은 집적 회로 제작을 위한 고압 프로세싱 챔버에 관한 것이다.
[0002] 마이크로-전자 회로들 및 다른 마이크로-스케일 디바이스들은 일반적으로, 기판, 이를테면 실리콘 또는 다른 반도체 재료 기판으로부터 제조된다. 마이크로-전자 또는 다른 마이크로-스케일 컴포넌트들을 형성하거나, 또는 전기 연결부들을 제공하기 위해, 다수의 금속 층들이 기판 상에 적용(apply)된다. 이들 금속 층들, 예컨대 구리가 기판 상에 도금되고, 그리고 일련의 포토리소그래피, 도금, 에칭, 폴리싱, 또는 다른 동작들로 컴포넌트들 및 상호연결부들을 형성한다.
[0003] 원하는 재료 특성들을 달성하기 위해, 기판은 전형적으로 어닐링 프로세스를 거치며, 그 어닐링 프로세스에서, 기판은 일반적으로 약 200-500 ℃까지 신속하게 가열된다. 기판은 비교적 짧은 시간, 예컨대 60-300초 동안 이들 온도들로 유지될 수 있다. 이어서, 기판은 급속히 냉각되며, 전체 프로세스는 일반적으로 단지 수 분 밖에 걸리지 않는다. 어닐링은 기판 상의 층들의 재료 특성들을 변화시키기 위해 사용될 수 있다. 어닐링은 또한, 도펀트들을 활성화하거나, 기판 상의 막들 사이로 도펀트들을 이동(drive)시키거나, 막-대-막 또는 막-대-기판 계면들을 변화시키거나, 증착된 막들을 고밀화하거나, 또는 이온 주입으로부터의 손상을 보수하는 데 사용될 수 있다.
[0004] 마이크로전자 디바이스들 및 상호연결부들에 대한 피처(feature) 사이즈들이 더 작아짐에 따라, 허용 가능한 결함 레이트가 크게 감소된다. 일부 결함들은 오염물 입자들로부터 기인한다. 다른 결함들은 기판의 특정 구역들의 불완전한 프로세싱, 예컨대, 트렌치(trench)의 최하부에서의 막의 성장의 실패로부터 기인할 수 있다.
[0005] 다양한 어닐링 챔버들이 지금까지 사용되어 왔다. 단일 기판 프로세싱 장비에서, 이들 어닐링 챔버들은 전형적으로, 기판의 온도 프로파일을 제어하기 위해, 기판을 가열 및 냉각 엘리먼트들 사이에 또는 가열 및 냉각 엘리먼트들 상에 포지셔닝(position)한다. 그러나, 정확하고 반복 가능한 온도 프로파일들 뿐만 아니라 결함들의 용인 가능한 레벨을 달성하는 것은 엔지니어링 난제들을 제시할 수 있다.
[0006] 일 예에서, 기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템이 제공된다. 시스템은, 제1 챔버; 제1 챔버에서 기판을 홀딩하기 위한 지지부; 제1 챔버에 인접한 제2 챔버; 제2 챔버로부터 가스를 제거하기 위한 포어라인(foreline); 제2 챔버 내의 압력을 낮추도록 구성된 진공 프로세싱 시스템; 제2 챔버 내의 압력으로부터 제1 챔버 내의 압력을 격리시키기 위해 제1 챔버와 제2 챔버 사이에 있는 밸브 조립체; 제1 챔버 내에 하나 이상의 가스들을 도입하고, 가스가 제1 챔버에 있는 동안 그리고 제1 챔버가 제2 챔버로부터 격리되어 있는 동안, 제1 챔버 내의 압력을 적어도 10 기압까지 증가시키도록 구성된 가스 전달 시스템; 가스 전달 시스템 및 밸브 조립체를 동작시키도록 구성된 제어기; 제1 챔버로부터 가스를 제거하기 위한 배기 라인을 포함하는 배기 시스템; 및 제1 가스 전달 모듈과 제2 가스 전달 모듈 둘 모두를 둘러싸는 공통 하우징을 포함한다. 가스 전달 시스템은, 적어도 10 기압인 제1 압력으로 제1 가스를 전달하기 위한 제1 가스 전달 모듈, 및 제1 압력 미만이지만 1 기압을 초과하는 제2 압력으로 제1 가스 또는 상이한 조성의 제2 가스를 전달하기 위한 제2 가스 전달 모듈을 포함한다.
[0007] 구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
[0008] 제2 배기 시스템이 공통 하우징으로부터 가스를 제거하도록 구성될 수 있다. 제2 배기 시스템은 하우징으로부터 포어라인으로 가스를 지향시키도록 구성될 수 있다. 제1 및 제2 전달 라인들은 제1 챔버에 제1 및 제2 가스 전달 모듈들을 커플링시킬 수 있다. 격납 인클로저(containment enclosure)는 제1 및 제2 전달 라인들로부터 누설되는 가스를 포어라인으로 방향 전환(divert)시키도록 구성될 수 있다. 공통 하우징은 격납 인클로저로부터 유동적으로 격리될 수 있다.
[0009] 다른 예에서, 기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템은, 제1 챔버; 제1 챔버에서 기판을 홀딩하기 위한 지지부; 제1 챔버에 인접한 제2 챔버; 제2 챔버로부터 가스를 제거하기 위한 포어라인; 제2 챔버 내의 압력을 거의 진공(near vacuum)까지 낮추도록 구성된 진공 프로세싱 시스템; 제2 챔버 내의 압력으로부터 제1 챔버 내의 압력을 격리시키기 위해 제1 챔버와 제2 챔버 사이에 있는 밸브 조립체; 제1 챔버 내에 하나 이상의 가스들을 도입하고, 가스가 제1 챔버에 있는 동안 그리고 제1 챔버가 제2 챔버로부터 격리되어 있는 동안, 제1 챔버 내의 압력을 적어도 10 기압까지 증가시키도록 구성된 가스 전달 시스템; 제1 챔버로부터 가스를 제거하기 위한 배기 라인을 포함하는 배기 시스템; 및 제어기를 포함한다. 가스 전달 시스템은, 적어도 10 기압인 제1 압력으로 제1 가스를 전달하기 위한 제1 가스 전달 모듈, 및 제1 압력 미만이지만 1 기압을 초과하는 제2 압력으로 제1 가스 또는 상이한 조성의 제2 가스를 전달하기 위한 제2 가스 전달 모듈을 포함한다. 제어기는, 밸브 조립체가 제2 챔버로부터 제1 챔버를 격리시킨 후에, 제2 가스 전달 모듈이 제1 챔버를 1 기압 미만의 압력으로부터 제2 압력까지 상승시킨 후에, 제2 가스 전달 모듈이 제1 챔버로부터 격리된 후에, 제1 가스 전달 모듈이 제1 챔버를 제2 압력으로부터 제1 압력까지 상승시키도록, 가스 전달 시스템, 밸브 조립체, 진공 프로세싱 시스템, 및 배기 시스템을 동작시키도록 구성된다.
[0010] 구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
[0011] 제1 가스 전달 모듈은 제1 챔버에 제1 가스를 전달하기 전에 제1 가스의 압력을 증가시키도록 구성된 펌프를 포함할 수 있다. 제2 가스 전달 모듈은 제1 챔버로 가스를 지향시키기 위해, 질량 유량 제어기, 액체 유량계, 또는 액체 유량 제어기를 사용할 수 있다. 제1 압력 센서가 제1 챔버에 포지셔닝될 수 있고, 제2 압력 센서가 제2 챔버에 포지셔닝될 수 있다. 제어기는 배기 시스템으로 하여금 제1 챔버 내의 압력을 감소시키게 하고, 진공 프로세싱 시스템으로 하여금 제2 챔버 내의 압력을 감소시키게 하도록 구성될 수 있다. 제어기는 제1 압력 센서 및 제2 압력 센서로부터의 측정들을 비교하고, 제1 챔버 내의 압력이 제2 챔버 내의 압력보다 더 높게 되도록, 배기 시스템 및 진공 프로세싱 시스템을 제어하도록 구성될 수 있다.
[0012] 다른 예에서, 고압 프로세싱 시스템을 동작시키는 방법이 제공되며, 그 방법은, 제1 챔버 및 제2 챔버를 1 기압 미만인 제1 압력에 이르게 하는 단계; 제1 챔버와 제2 챔버 사이의 격리 밸브가 개방되어 있는 동안, 기판을 제2 챔버로부터 제1 챔버 내로 운송하는 단계; 격리 밸브가 폐쇄되어 있는 동안, 제1 챔버를 제1 압력으로부터 제2 압력까지 감소시키고, 제2 챔버를 제1 압력으로부터 제3 압력까지 감소시키는 단계; 제2 가스 전달 모듈을 이용하여, 대기압을 초과하면서 10 기압 미만인 제4 압력까지 제1 챔버를 가압하는 단계; 제1 가스 전달 모듈을 이용하여, 10 기압을 초과하는 제5 압력까지 제1 챔버를 가압하는 단계; 제1 챔버가 제5 압력으로 있는 동안, 기판을 프로세싱하는 단계; 제1 챔버를 진공배기시키는 단계; 및 격리 밸브를 개방하고, 제1 챔버로부터 기판을 제거하는 단계를 포함한다.
[0013] 구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
[0014] 제5 압력까지 제1 챔버를 가압하는 단계는 제1 챔버에 제1 가스를 공급하는 단계를 포함할 수 있으며, 제4 압력까지 제1 챔버를 가압하는 단계는 제1 챔버에 상이한 조성의 제2 가스를 공급하는 단계를 포함할 수 있다. 제1 가스는 H2 또는 NH3 중 적어도 하나를 포함할 수 있다. 제2 가스 전달 모듈을 이용하여 제1 챔버를 가압하는 것은, 제1 가스 전달 모듈과 제1 챔버 사이의 전달 라인에서의 고압 격리 밸브를 이용하여, 제1 챔버로부터 제1 가스 전달 모듈을 격리시키고, 그리고 제2 가스 전달 모듈과 제1 챔버 사이의 전달 라인에서의 저압 격리 밸브를 개방함으로써, 제2 가스 전달 모듈과 제1 챔버를 유동적으로 커플링시키는 것을 포함할 수 있다. 제1 가스 전달 모듈을 이용하여 제1 챔버를 가압하는 것은, 저압 격리 밸브를 이용하여, 제1 챔버로부터 제2 가스 전달 모듈을 격리시키고, 그리고 고압 격리 밸브를 개방함으로써, 제1 가스 전달 모듈과 제1 챔버를 유동적으로 커플링시키는 것을 포함할 수 있다.
[0015] 제3 압력은 제2 압력보다 더 낮을 수 있다. 제1 챔버 내의 제1 압력 센서와 제2 챔버 내의 제2 압력 센서로부터의 측정들은 비교될 수 있고, 그리고 제1 챔버 내의 압력이 제2 챔버 내의 압력보다 더 높게 될 때까지, 제1 챔버 및 제2 챔버에서 압력이 계속 감소될 수 있다. 제1 챔버를 진공배기시키는 것은 제1 압력 미만인 제6 압력까지 제1 챔버 내의 압력을 낮추는 것을 포함할 수 있다. 제6 압력은 제3 압력을 초과할 수 있다.
[0016] 구현들은 다음의 이점들 중 하나 이상을 포함할 수 있다.
[0017] 챔버에서 높은 압력이 더 안전하게 설정될 수 있다. 누설들이 검출될 수 있다.
[0018] 기판에 걸쳐 더 균일하게 층이 처리 또는 형성될 수 있다. 부가하여, 고압 프로세싱은 또한, 더 낮은 압력들에서 이용 가능하지 않은 화학 반응들에 대한 접근(access)을 제공할 수 있다.
[0019] 하나 이상의 실시예들의 세부사항들은 첨부 도면들 및 아래의 상세한 설명에서 제시된다. 다른 특징들, 목적들, 및 이점들은 상세한 설명 및 도면들, 및 청구항들로부터 명백하게 될 것이다.
[0020] 도 1은 프로세싱 플랫폼의 도면이다.
[0021] 도 2는 향상된 안전 피처들을 갖는 고압 프로세싱 시스템의 도면이다.
[0022] 도 3은 고압 프로세싱 시스템을 동작시키는 방법을 예시하는 흐름도이다.
[0023] 도 4는 향상된 안전 피처들을 갖는 고압 프로세싱 시스템의 다른 구현의 도면이다.
[0024] 도 5는 고압 프로세싱 시스템을 동작시키는 방법을 예시하는 흐름도이다.
[0025] 도 6은 고압 프로세싱 시스템을 위한 챔버의 개략적인 측면도이다.
[0026] 다양한 도면들 내의 동일한 참조 기호들은 동일한 엘리먼트들을 표시한다.
[0027] 위에서 언급된 바와 같이, 일부 결함들은 기판의 특정 구역들의 불완전한 프로세싱으로부터 기인할 수 있다. 그러나, 고압 프로세싱(예컨대, 어닐링 또는 증착)은 기판에 걸쳐 프로세싱의 일관성을 개선할 수 있다. 특히, 어닐링은 고압 환경에서 발생할 수 있다. 어닐링 프로세스를 사용하여, 예컨대, 케미스트리(chemistry)가 기판 상에 배치된 재료 내로 확산되어 그 재료와 반응하게 되는 열 산화 또는 다른 프로세스에 의해, 층이 형성되는 경우, 높은 압력은 기판 상의 재료의 층의 표면 커버리지의 완전성(thoroughness)을 개선하는 것을 도울 수 있다. 예컨대, 트렌치 내의 층의 처리 또는 형성의 문제들이 감소될 수 있다. 결과로서, 기판에 걸쳐 더 균일하게 층이 처리 또는 형성될 수 있다. 부가하여, 고압 프로세싱(예컨대, 어닐링 또는 증착)은 또한, 더 낮은 압력들에서 이용 가능하지 않은 화학 반응들에 대한 접근을 제공할 수 있다.
[0028] 다른 문제는, 구리와 같은 특정 재료들이 약 70 ℃ 초과의 온도들에서 산소에 노출될 때 급속히 산화될 것이라는 것이다. 구리 또는 다른 재료가 산화되는 경우, 기판이 더 이상 사용 가능하지 않게 될 수 있거나, 또는 추가적인 프로세싱 전에 먼저 산화물 층이 제거되어야만 한다. 이들은 둘 모두, 효율적인 제조에 있어서 용인 가능하지 않은 선택들이다. 따라서, 설계 인자는, 특히 기판 온도가 약 70 ℃를 초과할 때, 산소로부터 기판을 격리시키는 것이다. 물론 산소가 주변 공기에 존재하기 때문에, 어닐링 동안 구리의 산화를 방지하는 것이 또한, 엔지니어링 난제들을 제시할 수 있다. 본원에서 설명되는 바와 같이, 기판은, 기판의 산화 및 오염을 방지하기 위해, 저압(예컨대, 거의-진공) 환경에서, 고압 프로세싱 챔버와 상이한 프로세싱 챔버들 사이에 이송될 수 있다.
[0029] 다른 고려사항은 압력이다. 매우 높은 압력들은 생산되는 기판들의 품질 및 일관성을 개선할 수 있다. 그러나, 높은 압력(예컨대, 10 atm 초과, 15 atm 초과, 또는 최대 20 atm)을 갖는 시스템들은 격리의 손실 및 파괴(breach and loss of containment)의 리스크가 높다. 향상된 안전 피처들을 갖는 시스템이 그러한 초-고압 프로세싱의 사용에 유익하다.
[0030] 도 1은 물리 기상 증착, 화학 기상 증착, 및/또는 어닐링 프로세스들의 적어도 하나의 실시예를 수행하는 데 적합한 통합형 다중-챔버 기판 프로세싱 시스템을 도시한다. 일반적으로, 다중-챔버 기판 프로세싱 시스템은, 예컨대 10 기압 초과의 압력들로 동작하여 고압 프로세스, 이를테면 증착 또는 어닐링을 수행할 수 있는 적어도 하나의 고압 프로세싱 챔버, 및 예컨대 낮은 압력, 예컨대 1 기압 미만으로 동작하여 저압 프로세스, 이를테면 에칭, 증착, 또는 열 처리를 수행할 수 있는 적어도 하나의 저압 프로세싱 챔버를 포함한다. 일부 구현들에서, 다중-챔버 프로세싱 시스템은 중앙 이송 챔버를 갖는 클러스터 툴이며, 그 중앙 이송 챔버는 낮은 압력으로 있고, 그 중앙 이송 챔버로부터 다수의 프로세싱 챔버들이 접근될 수 있다.
[0031] 본원에서 설명되는 프로세스들 및 시스템들의 일부 실시예들은 피처 정의들을 위한 재료의 층들, 예컨대 금속 및 금속 실리사이드 배리어들을 증착하는 것에 관한 것이다. 예컨대, 금속 실리사이드 층을 형성하기 위해, 제1 금속 층이 실리콘 기판 상에 증착되어 어닐링된다. 이어서, 피처를 충전(fill)하기 위해, 제2 금속 층이 금속 실리사이드 층 상에 증착된다. 금속 실리사이드 층을 형성하기 위한 어닐링 프로세스는 다수의 어닐링 동작들로 수행될 수 있다.
[0032] 도 1은 프로세싱 플랫폼(100)의 일 실시예의 개략적인 평면도이며, 프로세싱 플랫폼(100)은 2개의 이송 챔버들(102, 104), 이송 챔버들(102, 104)에 각각 포지셔닝된 이송 로봇들(106, 108), 및 2개의 이송 챔버들(102, 104) 상에 배치된 프로세싱 챔버들(110, 112, 114, 116, 118, 130)을 포함한다. 제1 및 제2 이송 챔버들(102, 104)은 인접한 프로세싱 챔버들(110, 112, 114, 116, 118, 130)과 인터페이스하는 중앙 진공 챔버들이다.
[0033] 제1 이송 챔버(102)와 제2 이송 챔버(104)는 패스-스루 챔버(pass-through chamber)들(120)에 의해 분리되며, 패스-스루 챔버들(120)은 냉각 또는 예열 챔버들을 포함할 수 있다. 패스-스루 챔버들(120)은 또한, 제1 이송 챔버(102)와 제2 이송 챔버(104)가 상이한 압력들로 동작할 때, 기판 핸들링 동안, 펌프 다운 또는 통기될 수 있다. 예컨대, 제1 이송 챔버(102)는 약 100 밀리토르 내지 약 5 토르, 이를테면 약 40 밀리토르로 동작할 수 있으며, 제2 이송 챔버(104)는 약 1x10-5 토르 내지 약 1x10-8 토르, 이를테면 약 1x10-7 토르로 동작할 수 있다.
[0034] 프로세싱 플랫폼(100)은 프로그래밍된 제어기(122)에 의해 동작된다. 제어기(122)는 챔버들 사이에서 기판들을 운송하도록 이송 로봇들(106, 108)을 제어할 수 있고, 그리고 프로세싱 플랫폼(100)의 챔버들 각각이 기판을 프로세싱하기 위한 개별 동작들을 수행하게 할 수 있다.
[0035] 제1 이송 챔버(102)는 2개의 탈기 챔버들(124), 2개의 로드 락 챔버들(128), 반응성 사전-세정 챔버(118), 적어도 하나의 물리 기상 증착 챔버(110), 및 패스-스루 챔버들(120)과 커플링된다. 사전-세정 챔버는, 캘리포니아, 산타클라라의 Applied Materials로부터 상업적으로 입수 가능한 PreClean II 챔버일 수 있다. 기판들(미도시)은 로드 락 챔버들(128)을 통해 프로세싱 플랫폼(100) 내로 로딩된다. 예컨대, 팩토리 인터페이스 모듈(132)(존재하는 경우)이 인간 오퍼레이터 또는 자동화된 기판 핸들링 시스템 중 어느 하나로부터 하나 이상의 기판들, 예컨대, 기판들의 카세트들 또는 기판들의 밀폐된 포드들을 수용하는 것을 담당할 것이다. 팩토리 인터페이스 모듈(132)은, 적용 가능한 경우, 기판들의 포드들 또는 카세트들을 개방할 수 있고, 그리고 기판들을 로드 락 챔버들(128)로 그리고 로드 락 챔버들(128)로부터 이동시킬 수 있다. 프로세싱 챔버들(110, 112, 114, 116, 118, 130)은 이송 챔버들(102, 104)로부터 기판들을 수용하고, 기판들을 프로세싱하고, 기판들이 이송 챔버들(102, 104) 내로 다시 이송될 수 있게 한다. 프로세싱 플랫폼(100) 내로 로딩된 후에, 기판들은 순차적으로 탈기 챔버들(124) 및 사전-세정 챔버(118)에서 각각 탈기 및 세정된다.
[0036] 프로세싱 챔버들 각각은 격리 밸브에 의해 이송 챔버들(102, 104)로부터 격리되며, 그 격리 밸브는 프로세싱 챔버들이 이송 챔버들(102, 104)과 상이한 진공 레벨로 동작할 수 있게 하고, 그리고 프로세싱 챔버에서 사용되는 임의의 가스들이 이송 챔버 내로 도입되는 것을 방지한다. 로드 락 챔버들(128)이 또한, 격리 밸브들에 의해 이송 챔버(102, 104)로부터 격리된다. 각각의 로드 락 챔버(128)는 도어를 가지며, 그 도어는 외부 환경으로 개방되고, 예컨대, 팩토리 인터페이스 모듈(132)로 개방된다. 정상적인 동작에서, 기판들이 로딩된 카세트가 팩토리 인터페이스 모듈(132)로부터 도어를 통해 로드 락 챔버(128) 내에 배치되고, 도어가 폐쇄된다. 이어서, 로드 락 챔버(128)가 이송 챔버(102)와 동일한 압력까지 진공배기되고, 로드 락 챔버(128)와 이송 챔버(102) 사이의 격리 밸브가 개방된다. 이송 챔버(102) 내의 로봇이 적소로 이동되고, 로드 락 챔버(128)로부터 하나의 기판이 제거된다. 로드 락 챔버(128)에는 엘리베이터 메커니즘이 장비되며, 그에 따라, 카세트로부터 하나의 기판이 제거될 때, 엘리베이터가 카세트 내의 기판들의 스택을 이동시켜서, 다른 기판을 이송 평면에 포지셔닝하여, 로봇 블레이드 상에 그 다른 기판이 포지셔닝될 수 있게 한다.
[0037] 이송 챔버(102) 내의 이송 로봇(106)은 기판이 프로세싱 챔버 포지션과 정렬되도록 기판과 함께 회전한다. 프로세싱 챔버로부터 임의의 유독 가스들이 플러싱(flush)되고, 프로세싱 챔버가 이송 챔버와 동일한 압력 레벨에 이르게 되고, 격리 밸브가 개방된다. 이어서, 이송 로봇(106)은 기판을 프로세싱 챔버 내로 이동시키고, 그 프로세싱 챔버에서, 기판이 로봇으로부터 리프팅된다. 이어서, 이송 로봇(106)이 프로세싱 챔버로부터 회수되고, 격리 밸브가 폐쇄된다. 이어서, 프로세싱 챔버는 기판 상에 특정 프로세스를 실행하기 위해 일련의 동작들을 거친다. 완료되면, 프로세싱 챔버는 이송 챔버(102)와 동일한 환경으로 다시 되돌아 가고, 격리 밸브가 개방된다. 이송 로봇(106)은 프로세싱 챔버로부터 기판을 제거하고, 이어서, 그 기판을 다른 동작을 위한 다른 프로세싱 챔버로 이동시키거나, 또는 기판들의 전체 카세트가 프로세싱되었을 때, 프로세싱 플랫폼(100)으로부터 제거되도록, 그 기판을 로드 락 챔버(128)에 되돌려 놓는다(replace).
[0038] 이송 로봇들(106, 108)은 로봇 암들(107, 109)을 각각 포함하며, 로봇 암들(107, 109)은 기판을 지지하고, 기판을 상이한 프로세싱 챔버들 사이에서 이동시킨다. 이송 로봇(106)은 탈기 챔버들(124)과 사전-세정 챔버(118) 사이에서 기판을 이동시킨다. 이어서, 기판은 기판 상으로의 재료의 증착을 위해 롱 스로(long throw) PVD 챔버(110)로 이송될 수 있다.
[0039] 제2 이송 챔버(104)는 프로세싱 챔버들(116, 112, 114, 130)의 클러스터에 커플링된다. 프로세싱 챔버들(116, 112)은 오퍼레이터가 원하는 재료들, 이를테면 텅스텐을 증착하기 위한 CVD(chemical vapor deposition) 챔버들일 수 있다. PVD 프로세싱된 기판들이 패스-스루 챔버들(120)을 통해 제1 이송 챔버(102)로부터 제2 이송 챔버(104) 내로 이동된다. 그 후에, 이송 로봇(108)은, 프로세싱에 요구되는 바에 따라, 재료 증착 및 어닐링을 위해 프로세싱 챔버들(116, 112, 114, 130) 중 하나 이상의 프로세싱 챔버들 사이에서 기판들을 이동시킨다.
[0040] 당연히, 위의 모든 내용은 단순히 예시적인 구현일 뿐이며; 각각의 이송 챔버는 단지 상이한 수의 프로세싱 챔버들, 예컨대 1개 내지 5개의 챔버들을 가질 수 있고, 프로세싱 챔버들은 기능들의 상이한 분배를 가질 수 있고, 시스템은 상이한 수의 이송 챔버들, 예컨대 단지 하나의 이송 챔버를 가질 수 있으며, 이송 챔버들이 완전히 생략되어 시스템이 단지 하나의 독립형 프로세싱 챔버를 가질 수 있다.
[0041] 도 2는 제어형 고압 프로세싱 시스템(200)을 예시하며, 제어형 고압 프로세싱 시스템(200)은 기판을 프로세싱하기 위해 고압 환경을 생성하고, 그리고 기판이 프로세싱 챔버들 사이에서 이송되는 경우 기판을 위한 저압 환경을 생성한다. 제어형 고압 프로세싱 시스템(200)은 고압 내측 제1 챔버(202) 및 저압 외측 제2 챔버(204)를 포함한다.
[0042] 제1 챔버(202)는 프로세싱 플랫폼(100)의 프로세싱 챔버들(110, 112, 114, 116, 118, 130) 중 하나에 대응할 수 있으며, 제2 챔버(204)는 프로세싱 플랫폼(100)의 이송 챔버들(102, 104) 중 하나에 대응할 수 있다. 대안적으로, 일부 구현들에서, 프로세싱 챔버들(110, 112, 114, 116, 118, 130) 중 하나는 제1 챔버(202)와 제2 챔버(204) 둘 모두를 포함한다. 제1 챔버(202)는 내측 챔버에 대응할 수 있으며, 제2 챔버(204)는 내측 챔버를 둘러싸는 외측 챔버에 대응할 수 있다.
[0043] 제1 챔버(202) 내의 압력은 제2 챔버(204) 내의 압력과 독립적으로 제어될 수 있다. 제1 및 제2 챔버들(202, 204)이 이송 챔버들과 별개인 경우, 제1 및 제2 챔버들(202, 204)은 이송 챔버들 내의 압력들과 독립적으로 제어되는 압력들을 가질 수 있다. 제어형 고압 시스템(200)은 가스 전달 시스템(206), 진공 프로세싱 시스템(208), 및 제어기(210)를 더 포함한다. 일부 예들에서, 프로세싱 플랫폼(100)의 제어기(122)가 제어기(210)를 포함할 수 있다.
[0044] 제1 챔버(202)는 매우 높은 압력들, 예컨대 적어도 10 기압의 압력, 예컨대 40-80 atm의 압력을 수용하도록 구성, 예컨대 밀봉 및 보강된다. 대조적으로, 제2 챔버(204)는 매우 낮은 압력들, 예컨대 1 기압 미만의 압력, 예컨대 약 100 밀리토르까지 낮은 압력을 수용하도록 구성, 예컨대 밀봉 및 보강된다. 제2 챔버(204)의 저압 환경은 기판, 또는 기판 상에 증착된 재료의 오염 및/또는 산화를 억제할 수 있다.
[0045] 제2 챔버(204)는 제1 챔버(202)에 인접해 있다. 일부 구현들에서, 제2 챔버(204)는 또한, 제1 챔버(202)를 둘러싼다(제2 챔버(204)가 제1 챔버를 둘러싸지 않는 경우에도, 기판이 제1 챔버에 도달하기 위해 제2 챔버를 통과할 것이라는 점에서, 제2 챔버는 여전히 외측 챔버인 것으로 간주될 수 있음). 일부 구현들에서, 제2 챔버(204)는 제1 챔버(202)를 실질적으로, 예컨대 적어도 80%만큼 둘러싼다.
[0046] 위에서 언급된 바와 같이, 제2 챔버(204)는 상이한 프로세싱 챔버들 사이에서 기판을 수용하는 이송 챔버, 예컨대 이송 챔버(102) 또는 이송 챔버(104)에 대응할 수 있다. 대안적으로, 제2 챔버(204)는 제1 챔버(202)와 이송 챔버(102) 또는 이송 챔버(104) 사이에 위치된 별개의 챔버일 수 있다.
[0047] 내측(예컨대, 제1) 챔버(202)는 기판(10)과 같은 워크피스(workpiece)를 지지하기 위해 기판 지지부(218), 예컨대 페데스탈(pedestal)을 포함하며, 그 워크피스는 프로세싱, 예컨대 어닐링을 거치게 될 것이거나 또는 그 워크피스 상에 재료의 층이 증착될 것이다. 지지부(218)는 제1 챔버(202) 내에 포지셔닝되거나 또는 포지셔닝 가능하다. 일부 구현들에서, 기판(10)은 페데스탈의 평탄한 최상부 표면 바로 위에 놓인다. 일부 구현들에서, 기판은 페데스탈로부터 돌출된 리프트 핀들 상에 놓인다.
[0048] 제1 챔버(202)와 제2 챔버(204) 사이의 제1 밸브 조립체(212)는 제2 챔버(204) 내의 압력으로부터 제1 챔버(202) 내의 압력을 격리시킨다. 따라서, 제1 챔버(202) 내의 고압 환경은 제2 챔버(204) 내의 저압 환경으로부터 분리 및 밀봉될 수 있다. 제1 밸브 조립체(212)는 기판(10)이 제2 챔버(204)로부터 또는 제2 챔버(204)를 통해 제1 챔버(202) 내로 이송될 수 있게 하도록, 또는 기판이 제1 챔버(202)로부터 제2 챔버(204) 내로 또는 제2 챔버(204)를 통해 이송될 수 있게 하도록 개방 가능하다.
[0049] 제2 챔버(204)와 외부 환경, 예컨대 이송 챔버 사이의 제2 밸브 조립체(213)는 제2 챔버(204) 외부의 압력으로부터 제2 챔버(204) 내의 압력을 격리시킨다.
[0050] 가스 전달 시스템(206)은 제1 챔버(202)를 가압하도록 구성된다. 특히, 가스 전달 시스템(206)은 제1 챔버(202)에 프로세싱 가스를 전달할 수 있고, 그리고 제1 챔버에, 예컨대, 적어도 10 기압, 예컨대 15 atm 초과, 20 atm 초과, 30 atm 초과, 최대 50 atm, 최대 60 atm, 최대 70 atm, 최대 80 atm의 압력의 높은 압력을 설정한다. 프로세싱 가스는, 예컨대 어닐링 프로세스 동안, 기판(10), 예컨대 기판(10) 상의 층과 반응할 수 있거나, 또는 기판 상에 증착될 재료를 위한 소스로서 역할을 할 수 있다.
[0051] 일부 구현들에서, 가스 전달 시스템(206)은 제1 챔버(202)에 제1 가스를 전달하기 위한 제1 가스 전달 모듈(242), 및 제1 챔버(202)에 제1 가스, 또는 제1 가스와 상이한 조성의 제2 가스 중 어느 하나를 전달하기 위한 제2 가스 전달 모듈(244)을 포함한다. 제1 가스 전달 모듈(242)은 제1 챔버(202)에 높은 압력, 예컨대 10-80 bar의 압력들로 제1 가스를 전달하도록 구성된다. 대조적으로, 제2 가스 전달 모듈(244)은 낮은 압력, 예컨대 1 bar 미만으로 가스를 전달하도록 구성된다.
[0052] 전달 모듈들(242, 244)은 각각의 가스들을 공급하는 가스 탱크들 또는 설비 공급부들에 연결된다. 전달 모듈들(242, 244)은 각각의 전달 라인들(252, 254)에 의해 챔버(202)에 연결된다. 제1 가스 전달 모듈(242)에 대한 전달 라인(252)은 고압 격리 밸브(232)를 포함할 수 있으며, 제2 가스 전달 모듈(244)에 대한 전달 라인(254)은 저압 격리 밸브(234)를 포함할 수 있다.
[0053] 제1 가스는, 대기압을 초과하지만 제1 챔버 내의 최종 압력과 비교할 때 여전히 비교적 낮은 압력으로, 제1 가스 전달 모듈(242)에 공급될 수 있다. 예컨대, 제1 가스는 40-80 psi(약 2.7 내지 5.4 atm)의 압력으로 제1 가스 전달 모듈(242)에 전달될 수 있다. 제1 가스 전달 모듈(242)은 펌프, 예컨대 부스터 펌프를 포함한다. 펌프는 예컨대 수소 가스와 같은 유입되는 제1 가스의 압력을 증가시킨다. 펌프(372)는 압력을 약 2배 내지 20배로, 일부 경우들에서는 80 atm까지 높이 증가시킬 수 있다.
[0054] 가스는, 대기압을 초과하지만 제1 챔버 내의 최종 압력과 비교할 때 여전히 비교적 낮은 압력으로, 제2 가스 전달 모듈(244)에 공급될 수 있다. 예컨대, 가스는 또한, 40-80 psi(약 2.7 내지 5.4 atm)의 압력으로 제2 가스 전달 모듈(244)에 전달될 수 있다. 그러나, 제2 가스 전달 모듈(244)은 펌프를 포함할 필요가 없다. 오히려, 종래의 질량 유량 제어기, 액체 유량계, 또는 액체 유량 제어기가 제1 챔버(202)로 가스를 지향시키기 위해 사용될 수 있다.
[0055] 제1 가스 전달 모듈(242) 및 제2 가스 전달 모듈(244)은 공통 하우징(246) 내에 포함될 수 있다. 일부 구현들에서, 하우징(246)의 내부는 아래에서 논의되는 다른 격납 용기들로부터 유동적으로 분리된다. 배기 시스템(248)이 하우징(246)의 내부를 진공배기시키기 위해 사용될 수 있다. 이는, 가스 전달 시스템으로부터 누설들이 발생되는 경우에, 하우징 내에 부식성 또는 폭발성 가스가 축적되는 것을 방지할 수 있다. 일부 구현들에서, 격납 조립체는 다수의 부분들을 포함하며, 그 부분들 각각은 각각의 가스 전달 모듈을 둘러싸서 캡슐화하는 압력-유지 인클로저(pressure-containing enclosure)이다. 예컨대, 제1 가스 전달 모듈(242)은 제1 하우징에 밀폐될 수 있고, 스팀 전달 모듈(244)은 하우징에 밀폐될 수 있다. 배기 시스템(248)은 포어라인(214)에 커플링될 수 있거나, 또는 별개의 진공 시스템에 커플링될 수 있다.
[0056] 제1 가스는 프로세싱 가스, 예컨대 H2, NH3, O2, 또는 O3를 포함한다. 일부 구현들에서, 제1 가스는 실질적으로 순수한 프로세싱 가스이다. 대안적으로, 제1 가스는 프로세싱 가스와 불활성 가스(예컨대, 아르곤) 둘 모두를 포함할 수 있다.
[0057] 위에서 언급된 바와 같이, 제2 가스 전달 모듈(244)로부터의 가스는 제1 가스와 동일한 조성일 수 있거나, 또는 상이한 제2 가스일 수 있다. 제2 가스가 또한, 실질적으로 순수한 프로세싱 가스일 수 있거나, 또는 프로세싱 가스와 불활성 가스의 조합일 수 있다. 일부 구현들에서, 제2 가스는 물을 포함하고, 예컨대, 제2 가스는 스팀, 이를테면 건조 또는 과열 스팀일 수 있다.
[0058] 고압 시스템(200)은 제2 챔버(204)를 진공 프로세싱 시스템(208)에 연결하는 포어라인(214)을 포함한다. 외측 격리 밸브(216)가 진공 프로세싱 시스템(208)의 압력으로부터 제2 챔버(204) 내의 압력을 격리시키기 위해 포어라인(214)을 따라 배열된다. 외측 격리 밸브(216)는 제2 챔버(204) 내의 압력을 조정하고, 제2 챔버(204) 내의 가스들을 방출하도록 동작될 수 있다. 외측 격리 밸브(216)는 제2 챔버(204) 내의 압력을 조절하기 위해 진공 프로세싱 시스템(208)과 함께 동작될 수 있다.
[0059] 진공 프로세싱 시스템(208)은 제2 챔버(204)의 압력을 거의-진공 압력, 예컨대 1 밀리토르 미만으로 낮추도록 구성된다. 특히, 진공 프로세싱 시스템(208)은 제2 챔버(204) 내의 압력을 거의 진공까지 낮춤으로써 기판의 이송을 위해 적절한 저압 환경을 생성할 수 있다. 동작 동안, 제1 챔버(202)에서 달성되는 초-고 압력들(예컨대, 10 atm 초과, 15 atm 초과)은 제2 챔버(204)에서 대응하는 더 높은 압력(약 1 atm 미만, 예컨대 대략 0.85 atm 또는 640 토르)을 요구한다.
[0060] 일부 경우들에서, 진공 프로세싱 시스템(208)은 드라이 라인 펌프(dry line pump)를 포함한다. 비정상적으로 높은 압력을 수용하기 위해(예컨대, 드라이 라인 펌프의 파괴로부터의 누설에 의해 야기되는 높은 압력을 방지하기 위해), 가스는 드라이 라인 펌프에 도달하기 전에 팽창된다. 일부 경우들에서, 가스는 직경이 큰 확산기, 예컨대 20 인치 x 5 피트 높이의 확산기를 통해 유동한다.
[0061] 가스 전달 시스템(206)은 제1 챔버(202)로부터 제1 가스를 배기시켜서 제1 챔버(202)를 감압하기 위해 배기 라인(211)을 포함한다. 일부 구현들에서, 배기 라인은 배기 시스템, 예컨대 포어라인(214) 및 진공 프로세싱 시스템(208), 또는 별개의 진공 시스템 소스에 커플링된다. 배기 라인(211)은 배기 시스템으로부터 제1 챔버(202)를 격리시키기 위해 폐쇄될 수 있는 내측 배기 격리 밸브(230)를 포함할 수 있다.
[0062] 안전성을 증가시키기 위해, 시스템(200)은 격납 조립체를 포함할 수 있다. 격납 조립체는 적어도 격납 인클로저(260)를 포함할 수 있으며, 격납 인클로저(260)는, 전달 라인들(252, 254)이 챔버(202)에 유동적으로 연결되도록 챔버(204)에 진입하는 위치에서 전달 라인들(252, 254)을 밀폐한다. 부가하여, 각각의 전달 라인(252, 254)은 하우징(246)과 인클로저(260) 사이에서 연장되는 각각의 도관(256, 258)에 밀폐된다.
[0063] 격납 조립체는 또한, 격납 배기 라인(268)을 포함할 수 있다. 격납 배기 라인(268)은 격납 인클로저(260)와 배기 시스템 사이에서 배기 라인(211)을 밀폐한다. 격납 배기 라인(268)은 또한, 배기 시스템, 예컨대 포어라인(214) 및 진공 프로세싱 시스템(208), 또는 별개의 진공 시스템 소스에 격납 인클로저(260)를 유동적으로 연결한다. 따라서, 전달 라인들(252, 254)에서의 또는 전달 라인들과 제2 챔버(204)의 접합부로부터의 임의의 누설이 격납 인클로저(260)를 통해 인출되어 배기 시스템으로 벤팅(vent)된다.
[0064] 각각의 전달 라인(252, 254)은 격리 인클로저(260) 내에 압력 완화 밸브(272, 274)를 갖는 압력 완화 라인을 갖는다. 압력 완화 라인에 의해 방출되는, 전달 라인들(252, 254) 내부의 임의의 압력 축적은 격납 인클로저(260) 내로 유동하여, 예컨대 격납 배기 라인(268)에 의해, 또는 일부 경우들에서는, 배기 시스템에 연결된 별개의 배기 채널들을 통해, 시스템(200)으로부터 제거될 것이다.
[0065] 시스템(200)은 또한, 압력 완화 밸브(276)에 제1 챔버(202)를 커플링시키는 압력 완화 라인을 포함한다. 압력 완화 밸브(276)는 제2 챔버(204)에 포지셔닝될 수 있다. 이 경우에, 제1 챔버(202) 내의 압력이 허용 가능 레벨들을 초과하는 경우, 압력 완화 밸브(276)에 의해 방출되는 가스는 외측 챔버(204) 내로 유동하여 포어라인(214)을 통해 제거될 것이다. 대안적으로, 압력 완화 밸브(276)는 격납 인클로저(260)에 포지셔닝될 수 있다. 이 경우에, 압력 완화 밸브(276)에 의해 방출되는 가스는 배기 라인(211)을 통해 제거될 것이다.
[0066] 따라서, 모든 가압되는 컴포넌트들이 격납 조립체 내에 포함될 수 있고, 그에 따라, 시스템(200)은, 가압되는 가스를 대기에 전혀 노출시키지 않으면서, 돌발적인 누설들, 파열들, 또는 파괴들을 완화시킬 수 있다.
[0067] 다수의 가스 센서들(280)이 시스템(200)에 포함된다. 특히, 가스 센서들(280)은 수소 센서들일 수 있다. 센서(280)는 가능한 누설 위치들, 예컨대 격납 인클로저(260) 내부 및 배기 라인(268) 내부에 통합된다. 임의의 센서(280)가 가스 누설, 예컨대 수소 누설을 검출하는 경우, 제어기(210)는 센서(280)로부터 신호를 검출할 것이고, 그리고 제1 가스 전달 모듈(242)을 차단하거나, 제1 가스 전달 모듈(242) 내의 펌프를 차단하거나, 또는 다른 적절한 조치를 취할 것이다. 전달 라인들(252, 254)에서의 격리 밸브들이 또한, 센서(280) 중 하나 이상에 의해 누설이 검출된 것에 대한 응답으로 폐쇄될 수 있다.
[0068] 부가하여, 시스템(200)은 하나 이상의 압력 센서들(282)을 포함할 수 있다. 예컨대, 제1 챔버(202)에 제1 압력 센서(282)가 있을 수 있고, 제2 챔버(204)에 제2 압력 센서(282)가 있을 수 있다. 압력 센서들(282)은 제어기(210)에 커플링된다.
[0069] 기판을 프로세싱하기 위해 시스템(200)을 동작시키는 방법이 도 3에 예시된다. 시스템(200)은 격리 밸브들(212, 213)이 개방되는 것으로 시작된다. 기판이, 로봇(106 또는 108)에 의해, 개방된 밸브들(212, 213) 및 제2 챔버(204)를 통해 제1 챔버(202) 내에 삽입된다(동작(302)). 제어기는, 기판(10)을 제1 챔버(202) 내로 운반하고 기판(10)을 페데스탈 상에 배치하도록 로봇을 동작시킬 수 있다.
[0070] 제1 및 제2 챔버들(202, 204)은 진공 시스템에 의해 제1 압력, 예컨대 100-300 밀리토르까지 펌프 다운되고, 이어서, 기판(10)의 이송 동안 낮은 압력으로 유지된다(동작(304)). 이는 기판(10)의 산화의 방지를 보조할 수 있다.
[0071] 제1 격리 밸브(212)가 폐쇄된다(동작(306)). 선택적으로, 제2 격리 밸브(213)가 또한 폐쇄될 수 있다.
[0072] 진공 시스템은, 제1 압력보다 더 낮은 제2 압력까지 제1 챔버(202)를 추가로 펌프 다운시키고, 제2 압력보다 더 낮은 제3 압력까지 제2 챔버(204)를 펌프 다운시키기 위해 사용된다(동작(308)). 예컨대, 제1 및 제2 압력 둘 모두는 1-50 밀리토르일 수 있다. 제1 압력은 100-300 밀리토르일 수 있으며, 제2 압력은 1-50 밀리토르일 수 있다.
[0073] 제1 및 제2 챔버들(202, 204) 내의 압력들이 센서들(282)에 의해 측정되고, 제어기는 센서들(282)로부터 신호들을 수신할 수 있다.
[0074] 어느 하나의 챔버(202, 204) 내의 압력이 누설 임계값을 초과하는 경우, 이는 가스가 외부 환경으로부터 챔버 내로 누설되고 있음을 표시할 수 있다. 이 경우, 기판의 프로세싱이 종료될 수 있다.
[0075] 부가하여, 제어기는 측정된 압력들을 비교할 수 있다(동작(310)). 제1 챔버 내의 압력(P1)과 제2 챔버 내의 압력(P2) 사이의 차이가 임계값을 초과하지 않는 경우, 챔버들의 진공배기가 계속될 수 있다.
[0076] 챔버들(202, 204)이 원하는 압력들에 도달하면, 내측 배기 격리 밸브(230)가 폐쇄되고, 저압 격리 밸브(234)가 개방된다(동작(312)). 이는 배기 시스템으로부터 제1 챔버(202)를 격리시키지만, 제2 가스 전달 모듈(244)에 제1 챔버(202)를 커플링시킨다.
[0077] 다음으로, 제2 가스 전달 모듈(244)은 제1 가스 또는 제2 가스 중 어느 하나를 제1 챔버(202)에 전달한다(동작(314)). 이는 제1 압력을 초과하는 제4 압력까지 제1 챔버(202) 내의 압력을 상승시킨다. 제4 압력은 대기압을 초과할 수 있고, 예컨대, 40-80 psi의 압력일 수 있다. 제2 가스 전달 모듈(244)에 의한 가스의 전달은, 예컨대 압력 서보 제어 알고리즘(pressure servo control algorithm)을 이용하지 않고, 레귤러(regular) 유량 제어를 사용하여 수행될 수 있다.
[0078] 내측 챔버(202)가 제4 압력까지 상승되었으면, 저압 격리 밸브(234)가 폐쇄되고, 고압 격리 밸브(232)가 개방된다(동작(316)). 이는, 예컨대, 후속 동작들에서의 높은 압력들로 인한 제2 가스 전달 모듈(244)에 대한 손상을 방지하기 위해, 제2 가스 전달 모듈(244)로부터 제1 챔버(202)를 격리시킨다. 이는 또한, 제1 가스 전달 모듈(242)에 제1 챔버(202)를 커플링시킨다.
[0079] 다음으로, 제1 가스 전달 모듈(242)은 제1 가스를 제1 챔버(202)에 전달한다(동작(318)). 이는 제4 압력을 초과하는 제5 압력까지 제1 챔버(202) 내의 압력을 상승시킨다. 위에서 언급된 바와 같이, 제5 압력은 10-80 기압일 수 있다. 제1 가스 전달 모듈(242)에 의한 가스의 전달은 압력 서보 제어 알고리즘을 사용하여 제어기(210)에 의해 제어될 수 있다.
[0080] 제어기는 제1 챔버(202) 내부의 측정된 압력(P1)을 원하는 프로세싱 압력(PP)과 비교할 수 있다(동작(320)). 제1 챔버 내의 압력(P1)이 원하는 프로세싱 압력(PP) 미만인 경우, 제1 챔버(202)의 가압이 계속될 수 있다.
[0081] 내측 챔버(202)가 제5 압력까지 상승되었으면, 고압 격리 밸브(232)가 폐쇄된다(동작(322)). 이는 제1 가스 전달 모듈(242)로부터 제1 챔버(202)를 격리시킨다.
[0082] 이제, 기판(10)이 제1 챔버(202)에서 프로세싱된다(동작(324)). 프로세싱은, 예컨대 제어기 내의 타이머에 의해 측정되는 바와 같은, 세팅된 시간 동안 진행될 수 있다. 제1 가스는 기판(10) 상의 층과 반응하는 어닐링 가스일 수 있다. 대안적으로, 가스는 기판(10) 상에 증착될 재료를 포함할 수 있다. 제1 챔버(202) 내의 적절한 온도 및 압력 조건들은 재료의 증착 또는 어닐링이 발생되게 할 수 있다. 프로세싱, 예컨대 어닐링 또는 증착 동안, 제어기는, 기판(10) 상의 재료의 층의 프로세싱을 가능하게 하기 위해, 기판(10)에 열을 부가하도록, 지지부(218) 내의 하나 이상의 가열 엘리먼트들(219)을 동작시킬 수 있다.
[0083] 기판(10) 상의 재료의 층의 프로세싱이 완료될 때, 외측 격리 밸브(216)가 폐쇄되고, 내측 격리 밸브(230)가 개방된다(동작(326)). 이는, 제2 챔버(204)가 밀봉 상태로 유지되는 동안, 배기 시스템에 단지 제1 챔버(202)만을 커플링시킨다.
[0084] 내측 챔버는 제6 압력까지 펌프 다운된다(동작(328)). 제6 압력은 제1 압력 미만이지만 제3 압력을 초과할 수 있고, 예컨대 제2 압력과 대략 동일할 수 있다. 따라서, 압력은 거의-진공 압력이고, 그에 따라, 제1 챔버(202)와 제2 챔버(204) 사이의 압력차가 작다.
[0085] 다시, 제어기는 측정된 압력들을 비교할 수 있다.(동작(330)). 제1 챔버 내의 압력(P1)과 제2 챔버 내의 압력(P2) 사이의 차이가 임계값을 초과하지 않는 경우, 챔버들의 진공배기가 계속될 수 있다.
[0086] 내측 챔버(202)가 제6 압력에 도달하였으면, 제1 격리 밸브(212)가 개방된다(동작(332)). 부가하여, 폐쇄된 경우, 제2 격리 밸브가 또한 개방될 수 있다. 이어서, 외측 배기 격리 밸브(216)가 개방된다. 내측 및 외측 배기부들 둘 모두가 동일한 포어라인을 공유하기 때문에, 내측 배기 동안 외측 배기 격리 밸브를 폐쇄된 상태로 유지하는 것은 손상으로부터 리프트 핀 및 가열기 벨로즈를 보호할 수 있다.
[0087] 마지막으로, 로봇(106 또는 108)을 사용하여 기판(10)이 제1 챔버(202)로부터 제거될 수 있고, 필요한 경우, 후속 프로세스 챔버로 이송될 수 있다.
[0088] 도 4는 제어형 고압 프로세싱 시스템(200')을 예시하며, 제어형 고압 프로세싱 시스템(200')은 기판을 프로세싱하기 위해 고압 환경을 생성하고, 그리고 기판이 프로세싱 챔버들 사이에서 이송되는 경우 기판을 위한 저압 환경을 생성한다. 시스템(200')은, 제2 가스 전달 모듈(244')이 높은 압력들, 예컨대 10-80 bar의 압력들로 제1 챔버(202)에 제2 가스를 전달할 수 있는 고압 가스 전달 모듈인 것을 제외하고, 시스템(200)과 동일할 수 있다. 제2 가스는 액체 증기, 예컨대 스팀이다. 전달 라인(254)에서의 밸브(234')는 제2 고압 격리 밸브이다.
[0089] 기판을 프로세싱하기 위해 시스템(200 또는 200')을 동작시키는 방법이 도 5에 예시된다. 이 프로세스는, 아래에서 논의되는 것을 제외하고, 도 3을 참조하여 설명된 프로세스와 유사하다.
[0090] 특히, 시스템(200 또는 200')을 동작시키는 방법은, 다수의 스테이지들이 아니라 단일 동작으로 제1 챔버(202)에서 높은 압력에 도달하도록 가스를 공급한다. 따라서, 이 프로세스는 시스템(200)의 제1 가스 전달 모듈(242)만을 사용하여 수행될 수 있거나, 또는 시스템(200')의 제1 가스 전달 모듈(242)만을 사용하여 수행될 수 있거나, 또는 시스템(200')의 제2 가스 전달 모듈(244')만을 사용하여 수행될 수 있거나, 또는 시스템(200')의 제1 가스 전달 모듈(242)과 제2 가스 전달 모듈(244') 둘 모두를 사용하지만, 제1 가스 전달 모듈(242)을 모방하도록 제2 가스 전달 모듈(244')을 동작시킴으로써(예컨대, 가스 전달 모듈들의 격리 밸브들이 동시에 개방 및 폐쇄되는 등) 수행될 수 있다.
[0091] 특히, 내측 배기 격리 밸브(230)가 폐쇄되고(동작(312')), 고압 격리 밸브(232 및/또는 234')가 개방된다(동작(316')). 제1 가스 전달 모듈(242) 및/또는 제2 가스 전달 모듈(244')이 제1 챔버(202)에 제1 가스 및/또는 제2 가스를 전달한다(동작(318')). 이는 제1 챔버(202) 내의 압력을 제2 압력으로부터 제5 압력으로 상승시킨다. 위에서 언급된 바와 같이, 제5 압력은 10-80 기압일 수 있다. 제1 가스 전달 모듈(242)에 의한 가스의 전달은 압력 서보 제어 알고리즘을 사용하여 제어기(210)에 의해 제어될 수 있다.
[0092] 제1 챔버(202)에 전달되는 가스는, 예컨대 시스템(200)의 제1 가스 전달 모듈(242)만이 사용되거나 또는 시스템(200')의 제1 가스 전달 모듈(242)만이 사용되는 경우, H2 또는 NH3를 포함할 수 있다. 대안적으로, 제1 챔버(202)에 전달되는 가스는, 예컨대 시스템(200')의 제2 가스 전달 모듈(244')만이 사용되는 경우, 액체 증기, 예컨대 스팀을 포함할 수 있다. 대안적으로, 제1 챔버(202)에 전달되는 가스는, 예컨대 시스템(200')의 제1 가스 전달 모듈(242)과 제2 가스 전달 모듈(244') 둘 모두가 사용되는 경우, 스팀과 다른 프로세스 가스의 혼합물을 포함할 수 있다.
[0093] 도 6은 고압 프로세싱 시스템(200)(또는 200') 내의 제1 챔버(202) 및 제2 챔버(204)를 위한 가능한 구성을 예시한다. 고압 프로세싱 시스템(200)은 제1 챔버(202)와 제2 챔버(204) 사이에 밸브 조립체(212)를 더 포함한다. 이 구현은 제2 챔버(204)가 이송 챔버의 일부가 되게 할 수 있고, 예컨대, 압력 평형에 있게 할 수 있다.
[0094] 제2 챔버(204)는 내측 벽들(420)과 외측 벽들(424) 사이의 볼륨에 의해 정의될 수 있다. 부가하여, 기판(10)은 (기판 지지부(218)를 제공하는) 페데스탈(418) 상에 지지 가능하다. 하나 이상의 엘리먼트들(219), 예컨대 저항성 가열기가 페데스탈(418)에 매립될 수 있다. 기판은 페데스탈(418) 바로 위에 놓일 수 있거나, 또는 페데스탈을 통해 연장된 리프트 핀 조립체(430) 상에 놓일 수 있다.
[0095] 밸브 조립체(212)는 제1 챔버(202)의 베이스(422) 및 내측 벽들(420)에 대하여 이동 가능한 암(425)에 의해 형성된다. 특히, 밸브 조립체(212)는 제1 챔버(202)와 제2 챔버(204) 사이에 슬릿 밸브(423)를 포함한다. 슬릿 밸브(423)는 슬릿(423a) 및 암(425)을 포함한다. 슬릿(423a)은 제1 챔버(402)의 내측 벽들(420) 중 하나를 통해 연장된다. 암(425)의 수직 단부(425a)는 제1 챔버(202) 외부에 포지셔닝되는 한편, 암(425)의 수평 단부(425b)는 제1 챔버(202) 내에 포지셔닝된다. 암(425)의 수직 단부(425a)는 제2 챔버(204) 내에 포지셔닝될 수 있고, 그리고 제2 챔버(204) 내에 포지셔닝된 액추에이터에 의해 구동될 수 있다. 대안적으로, 암(425)의 수직 단부(425a)는 제2 챔버(204) 외부에 포지셔닝되고, 그에 따라, 제2 챔버(204) 외부에 또한 포지셔닝된 액추에이터(428)에 의해 구동된다.
[0096] 암(425)은 슬릿(423a)을 통해 연장되고, 벽들(420)에 대하여 이동 가능하며, 그에 따라, 암(425)은 암(425)이 벽들(420)과 밀봉을 형성하는 포지션으로 이동될 수 있다. 액추에이터(428)는 암(425)의 수직 단부(425a)에 커플링되고, 벽들(420)에 대하여 암(425)의 수평 단부(425b)를 구동시킨다. 암(425)은 슬릿(423a)을 슬릿(423a)을 커버(cover)하거나 또는 언커버(uncover)하도록 수직으로 이동 가능하다. 특히, 암(425)의 수직 단부(425a)는 내측 벽(420)의 인접한 내측 표면과 실질적으로 평행하게 연장되는 플랜지(flange)일 수 있거나 또는 이를 포함할 수 있다. 암(425)은 또한, 암(425)의 수평 단부(425b)가 벽(420)과 맞물리거나 또는 맞물림 해제될 수 있도록 측 방향으로 구동될 수 있다. 암(425)은 또한, 외측 벽(424) 내의 애퍼처(aperture)(426)를 통해 연장될 수 있다.
[0097] 밸브 조립체(212)는 개방 포지션과 폐쇄 포지션 사이에서 이동 가능하다. 밸브 조립체(212)가 개방 포지션에 있을 때, 암(425)의 수평 단부(425b)는 벽(420), 예컨대 벽(420)의 내측 표면으로부터 측 방향으로 이격된다. 부가하여, 암(425)의 수평 단부(425b)는 슬릿(423a)이 언커버되도록 수직으로 포지셔닝된다. 따라서, 슬릿(423a)은, 제1 챔버(202)와 제2 챔버(204) 사이의 유체 연통을 가능하게 하면서 또한, 예컨대 위에서 논의된 바와 같은 로봇에 의해, 기판(10)이 제1 챔버(202) 내로 그리고 제1 챔버(202) 밖으로 이동될 수 있게 하는 개구를 제공한다.
[0098] 밸브 조립체(212)가 폐쇄 포지션에 있을 때, 암(425)의 수평 단부(425b)는 슬릿(423a)을 커버하고, 벽들(420) 중 하나와 접촉함으로써, 밀봉을 형성하여, 제2 챔버(204)로부터 제1 챔버(202)를 격리시킨다. 가압될 때, 플랜지 또는 수평 단부(425b)는 제1 챔버(202)를 정의하는 벽(420)의 내측 표면과 접촉한다. O-링이 벽(420)과 접촉하는 표면 상에 수평 단부(425b)의 둘레를 따라 배치되어, 제1 챔버(402)가 가압될 때 격리 밀봉(seal of containment)을 보강하는 것을 돕는다.
[0099] 페데스탈(418) 내의 가열 엘리먼트들(219)은 제1 챔버(202) 내의 가스를 예컨대 최대 250 ℃까지 가열한다. O-링에 대한 손상을 방지하기 위해, 암(425)은 내부 가스 채널(480)을 포함할 수 있다. 내부 가스 채널(480)은 냉각 가스 공급부(484)로부터 공급받고, 그리고 냉각 가스가 암(425)을 통해 유동하게 하기 위한 도관이다. 내부 가스 채널(480)은 수평 단부(425b)를 통해 연장될 수 있거나, 또는 수평 단부(425b)와 수직 단부(425a) 둘 모두를 통해 연장될 수 있다. 내부 가스 채널 및 냉각 가스 공급부(484)는, 밸브 조립체(212)가 개방 포지션에 있을 때, 냉각 가스 공급부(484)로부터 가스가 이용 가능하지 않게 되어, 기판의 이송이 발생하고 있을 때 냉각 가스 유동이 방지되도록 구성될 수 있다.
[0100] 본 발명의 다수의 실시예들이 설명되었다. 그럼에도 불구하고, 본 발명의 사상 및 범위를 벗어나지 않으면서 다양한 변형들이 이루어질 수 있음을 이해할 것이다. 예컨대, 전술한 내용이 코발트 또는 니켈 층 막으로 금속 실리사이드 층을 형성하는 것을 설명하지만, 일부 구현들에서, 다른 재료들이 사용될 수 있다. 예컨대, 본원에서 설명되는 바와 같은 금속 실리사이드 재료를 형성하기 위해, 다른 재료들은 티타늄, 탄탈럼, 텅스텐, 몰리브덴, 플래티넘, 철, 니오븀, 팔라듐 및 이들의 조합들, 및 니켈 코발트 합금들, 코발트 텅스텐 합금들, 코발트 니켈 텅스텐 합금들, 도핑된 코발트 및 니켈 합금들 또는 니켈 철 합금들을 포함하는 다른 합금들을 포함할 수 있다.
[0101] 위에서 어닐링 또는 증착 시스템의 맥락에서 설명되어 있지만, 제공되는 가스들에 따라, 고압 챔버는 에칭 시스템을 위해 사용될 수 있다. 대안적으로, 고압 챔버는 불활성 가스로 충전될 수 있으며, 고압 챔버는 순수하게, 높은 압력에서의 열 처리를 위해 사용될 수 있다. 본원에서 설명되는 프로세싱 플랫폼들은 다른 타입들의 프로세싱 챔버들을 포함할 수 있다. 예컨대, 프로세싱 플랫폼은 기판의 표면 상에 패턴들을 에칭하기 위해 에칭 챔버를 포함할 수 있다.
[0102] 프로세싱 플랫폼의 상이한 챔버들 각각은, 거의-진공에서 50 기압 초과까지의 범위로 다양한 압력 환경들을 가질 수 있다. 챔버들 사이의 격리 밸브들, 예컨대 진공 밸브들은, 이들 다양한 압력 환경들이 각각의 챔버 내에 유지될 수 있도록, 서로 압력들을 격리시킬 수 있다.
[0103] 따라서, 다른 실시예들이 다음의 청구항들의 범위 내에 속한다.

Claims (20)

  1. 기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템으로서,
    제1 챔버;
    상기 제1 챔버에 인접한 제2 챔버;
    상기 제2 챔버로부터 상기 제1 챔버를 격리시키기 위해 상기 제1 챔버와 상기 제2 챔버 사이에 있는 밸브 조립체;
    가스 전달 시스템 ― 상기 가스 전달 시스템은,
    상기 제1 챔버가 상기 제2 챔버로부터 격리되어 있는 동안, 상기 제1 챔버를 적어도 10 기압의 제1 압력까지 가압하고; 그리고
    상기 제1 챔버가 상기 제2 챔버로부터 격리되어 있는 동안, 상기 제1 챔버를 1기압보다 크고 상기 제1 압력보다 낮은 범위의 제2 압력까지 가압하도록 구성됨 ― ;
    상기 가스 전달 시스템을 상기 제1 챔버에 커플링시키는, 제1 전달 라인 및 제2 전달 라인; 및
    상기 제2 챔버로부터 가스를 제거하기 위한 포어라인(foreline)을 포함하고,
    상기 가스 전달 시스템은 제1 가스 전달 모듈 및 제2 가스 전달 모듈을 포함하고, 상기 고압 프로세싱 시스템은,
    상기 제1 가스 전달 모듈과 상기 제2 가스 전달 모듈을 둘러싸는 공통 하우징; 및
    상기 공통 하우징으로부터 상기 포어라인으로 가스를 안내하도록 구성된 제2 배기 시스템을 더 포함하는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  2. 제1 항에 있어서,
    상기 제1 전달 라인 및 상기 제2 전달 라인은 상기 제2 챔버의 제1 측으로 들어가고 상기 제1 챔버에 유체적으로 연결되는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  3. 제2 항에 있어서,
    상기 포어라인은 상기 제1 측에 대향하는 상기 제2 챔버의 제2 측에 연결되는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  4. 제3 항에 있어서,
    배기 라인을 포함하고 상기 제1 챔버로부터 가스를 제거하도록 구성된 제1 배기 시스템을 더 포함하고, 상기 배기 라인은 상기 제2 챔버의 상기 제1 측을 통해 상기 제1 챔버에 연결되는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  5. 삭제
  6. 제1 항에 있어서,
    상기 제1 전달 라인 및 상기 제2 전달 라인으로부터 누설되는 가스를 상기 포어라인으로 방향 전환(divert)시키도록 구성된 격납 인클로저(containment enclosure)를 더 포함하는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  7. 제1 항에 있어서,
    제어기를 더 포함하고, 상기 제어기는,
    상기 밸브 조립체를 작동하여 상기 제1 챔버를 상기 제2 챔버로부터 격리시키고;
    상기 가스 전달 시스템을 작동하여 상기 제1 챔버를 상기 제1 압력까지 가압하고; 그리고
    상기 가스 전달 시스템을 작동하여 상기 제2 챔버를 상기 제2 압력까지 가압하도록 구성되는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  8. 제7 항에 있어서,
    상기 제어기는,
    배기 시스템이 상기 제1 챔버에서 제3 압력을 생성하게 하고 ― 상기 제3 압력은 상기 제1 압력보다 낮음 ― ;
    진공 프로세싱 시스템이 상기 제2 챔버에서 제4 압력을 생성하게 하고 ― 상기 제4 압력은 상기 제2 압력보다 낮음 ― ;
    상기 제1 챔버 내의 제1 압력 센서 및 상기 제2 챔버 내의 제2 압력 센서로부터의 측정치들을 비교하고; 그리고
    상기 제4 압력보다 더 큰 상기 제3 압력을 유지하도록 상기 배기 시스템 및 상기 진공 프로세싱 시스템을 제어하도록 추가로 구성되는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  9. 제1 항에 있어서,
    상기 가스 전달 시스템은,
    상기 제1 챔버로 제1 가스를 전달하고; 그리고
    상기 제2 챔버로 제2 가스를 전달하도록 추가로 구성되는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  10. 제9 항에 있어서,
    상기 가스 전달 시스템은 상기 제1 챔버에 상기 제1 가스를 전달하기 전에 상기 제1 가스의 압력을 증가시키도록 구성된 펌프를 포함하는,
    기판 상에서 층을 프로세싱하기 위한 고압 프로세싱 시스템.
  11. 고압 프로세싱 시스템을 동작시키는 방법으로서,
    제1 챔버 및 상기 제1 챔버에 인접한 제2 챔버를 1 기압 미만인 제1 압력에 이르게 하는 단계 ― 격리 밸브가 상기 제1 챔버와 상기 제2 챔버 사이에 배치됨 ― ;
    상기 격리 밸브가 폐쇄되어 있는 동안, 상기 제1 챔버를 상기 제1 압력으로부터 제2 압력까지 감소시키고, 상기 제2 챔버를 상기 제1 압력으로부터 제3 압력까지 감소시키는 단계;
    가스 전달 시스템을 이용하여, 대기압을 초과하면서 10 기압 미만인 제4 압력까지 상기 제1 챔버를 가압하는 단계;
    가스 전달 시스템을 이용하여, 10 기압을 초과하는 제5 압력까지 상기 제1 챔버를 가압하는 단계; 및
    상기 제1 챔버가 상기 제5 압력으로 있는 동안, 기판을 프로세싱하는 단계를 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.
  12. 제11 항에 있어서,
    상기 제1 챔버와 상기 제2 챔버 사이의 상기 격리 밸브가 개방되어 있는 동안, 기판을 상기 제2 챔버로부터 상기 제1 챔버 내로 운송하는 단계;
    기판의 프로세싱을 완료하는 것에 응답하여 상기 제1 챔버를 진공배기시키는 단계; 및
    상기 제1 챔버를 진공배기하는 것에 응답하여, 상기 격리 밸브를 개방하고, 상기 제1 챔버로부터 기판을 제거하는 단계를 더 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.
  13. 제12 항에 있어서,
    상기 제1 챔버를 진공배기시키는 단계는, 상기 제1 챔버의 압력을 상기 제1 압력보다 낮은 제6 압력까지 낮추는 단계를 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.
  14. 제13 항에 있어서,
    상기 제6 압력은 상기 제3 압력보다 큰,
    고압 프로세싱 시스템을 동작시키는 방법.
  15. 제11 항에 있어서,
    상기 제5 압력까지 상기 제1 챔버를 가압하는 단계는 상기 제1 챔버에 제1 가스를 공급하는 단계를 포함하며,
    상기 제4 압력까지 상기 제1 챔버를 가압하는 단계는 상기 제1 챔버에 상이한 조성의 제2 가스를 공급하는 단계를 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.
  16. 제15 항에 있어서,
    상기 제1 가스는 H2 또는 NH3 중 적어도 하나를 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.
  17. 제11 항에 있어서,
    상기 제4 압력까지 상기 제1 챔버를 가압하는 단계는,
    상기 가스 전달 시스템의 제1 가스 전달 모듈을 상기 제1 챔버로부터 격리시키는 단계; 및
    상기 가스 전달 시스템의 제2 가스 전달 모듈을 상기 제1 챔버와 유체적으로 커플링시키는 단계를 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.
  18. 제17 항에 있어서,
    상기 제5 압력까지 상기 제1 챔버를 가압하는 단계는,
    상기 제1 챔버로부터 상기 제2 가스 전달 모듈을 격리시키는 단계; 및
    상기 제1 가스 전달 모듈을 상기 제1 챔버와 유체적으로 커플링시키는 단계를 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.
  19. 제11 항에 있어서,
    상기 제3 압력은 상기 제2 압력보다 작은,
    고압 프로세싱 시스템을 동작시키는 방법.
  20. 제11 항에 있어서,
    상기 제1 챔버 내의 제1 압력 센서 및 상기 제2 챔버 내의 제2 압력 센서로부터의 측정치들을 비교하는 단계; 및
    상기 측정치들의 비교에 기초하여 상기 제1 챔버의 압력과 상기 제2 챔버의 압력을 제어하는 단계를 더 포함하는,
    고압 프로세싱 시스템을 동작시키는 방법.



KR1020227014985A 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템 KR102585074B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237032887A KR20230144106A (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762584794P 2017-11-11 2017-11-11
US62/584,794 2017-11-11
KR1020207016526A KR102396319B1 (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템
PCT/US2018/059643 WO2019094481A1 (en) 2017-11-11 2018-11-07 Gas delivery system for high pressure processing chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207016526A Division KR102396319B1 (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237032887A Division KR20230144106A (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템

Publications (2)

Publication Number Publication Date
KR20220065077A KR20220065077A (ko) 2022-05-19
KR102585074B1 true KR102585074B1 (ko) 2023-10-04

Family

ID=66432396

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237032887A KR20230144106A (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR1020207016526A KR102396319B1 (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR1020227014985A KR102585074B1 (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237032887A KR20230144106A (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR1020207016526A KR102396319B1 (ko) 2017-11-11 2018-11-07 고압 프로세싱 챔버를 위한 가스 전달 시스템

Country Status (8)

Country Link
US (3) US10720341B2 (ko)
EP (2) EP3707746B1 (ko)
JP (2) JP7112490B2 (ko)
KR (3) KR20230144106A (ko)
CN (1) CN111357090B (ko)
SG (1) SG11202003355QA (ko)
TW (2) TW202333273A (ko)
WO (1) WO2019094481A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11380564B2 (en) * 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20220026713A (ko) 2020-08-26 2022-03-07 주식회사 원익아이피에스 기판처리방법과, 그에 따른 기판처리장치 및 반도체 소자 제조방법
KR20220056750A (ko) * 2020-10-28 2022-05-06 주식회사 원익아이피에스 기판 처리 방법
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
JP7197739B2 (ja) * 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法
US20230060192A1 (en) * 2021-09-02 2023-03-02 Entegris, Inc. Methods and apparatus for processing an electrostatic chuck
KR102396669B1 (ko) * 2021-12-20 2022-05-12 주식회사 에이치피에스피 반도체 챔버의 공정 전과 공정 후 잔류 가스 검출 장치
JP2023173097A (ja) * 2022-05-25 2023-12-07 東京エレクトロン株式会社 基板処理装置及び酸素混入抑制方法
US20240068093A1 (en) * 2022-08-25 2024-02-29 Applied Materials, Inc. System and method for controlling foreline pressure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243374A (ja) * 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2009539231A (ja) 2006-02-10 2009-11-12 プンサン マイクロテック カンパニー リミティッド 高圧ガスアニーリング装置及び方法

Family Cites Families (603)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
EP0516344B1 (en) 1991-05-28 2003-10-01 Trikon Technologies Limited Method to fill a cavity in a substrate
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
KR100804853B1 (ko) * 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 삼불화염소가스발생기시스템
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6387764B1 (en) 1999-04-02 2002-05-14 Silicon Valley Group, Thermal Systems Llc Trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) * 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
KR20050019129A (ko) * 2002-06-13 2005-02-28 비오씨 에드워즈 인코포레이티드 기판 처리 장치 및 기판 처리 방법
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
WO2004032189A2 (en) 2002-09-30 2004-04-15 Miasolé Manufacturing apparatus and method for large-scale production of thin-film solar cells
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
AU2002368383A1 (en) 2002-11-25 2004-06-18 Koyo Thermo Systems Co., Ltd. Electric heater for semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
WO2004070796A2 (en) 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
WO2004102055A1 (en) 2003-05-13 2004-11-25 Applied Materials, Inc. Methods and apparatus for sealing an opening of a processing chamber
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
CN1898411A (zh) 2003-12-23 2007-01-17 约翰·C·舒马赫 用于半导体反应器的排气调节系统
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4593210B2 (ja) 2004-09-08 2010-12-08 株式会社協真エンジニアリング 高圧アニール装置の反応容器保護方法及び装置
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
US9576824B2 (en) 2005-02-22 2017-02-21 Spts Technologies Limited Etching chamber with subchamber
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7759749B2 (en) 2005-03-16 2010-07-20 Nec Corporation Metal material, and coating film and wiring for semiconductor integrated circuitry utilizing the metal material
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
WO2007043383A1 (ja) 2005-10-07 2007-04-19 Nikon Corporation 微小構造体およびその製造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20090218233A1 (en) 2005-11-18 2009-09-03 Mikael Fredenberg Method of Forming a Multilayer Structure
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
JP2008053258A (ja) 2006-08-22 2008-03-06 Ihi Corp 熱処理装置および熱処理方法とその制御装置
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
WO2008131531A1 (en) 2007-04-30 2008-11-06 Ifire Ip Corporation Laminated thick film dielectric structure for thick film dielectric electroluminescent displays
KR101560705B1 (ko) 2007-05-25 2015-10-16 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
WO2008156687A1 (en) 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8668868B2 (en) 2007-10-26 2014-03-11 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
KR101892467B1 (ko) 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
JP2012506947A (ja) 2008-10-27 2012-03-22 アプライド マテリアルズ インコーポレイテッド 三元化合物の気相堆積方法
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
TWI527930B (zh) 2009-02-04 2016-04-01 應用材料股份有限公司 用於電漿製程的接地回流路徑
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US20100248419A1 (en) 2009-02-15 2010-09-30 Jacob Woodruff Solar cell absorber layer formed from equilibrium precursor(s)
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
JP5174966B2 (ja) 2009-07-01 2013-04-03 三菱電機株式会社 薄膜太陽電池およびその製造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (ja) 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
KR101370301B1 (ko) 2009-11-20 2014-03-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
WO2011084812A2 (en) 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
EP2526339A4 (en) 2010-01-21 2015-03-11 Powerdyne Inc PRODUCTION OF STEAM FROM A CARBON SUBSTANCE
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR101877377B1 (ko) 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
JP5806827B2 (ja) * 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
JP6078063B2 (ja) 2011-07-13 2017-02-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜トランジスタデバイスの製造方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
WO2013083129A1 (en) 2011-12-08 2013-06-13 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
WO2013112702A1 (en) * 2012-01-26 2013-08-01 Applied Materials, Inc. Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
CN106847737B (zh) * 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US9082618B2 (en) 2012-03-02 2015-07-14 Japan Science And Technology Agency Method of forming a conductive film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
WO2014021220A1 (ja) 2012-07-30 2014-02-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (ja) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
MY171887A (en) 2013-02-19 2019-11-06 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US10427303B2 (en) 2013-03-15 2019-10-01 Applied Materials, Inc. Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
CN105247664B (zh) 2013-05-31 2018-04-10 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及炉口盖体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
WO2015026445A1 (en) 2013-08-21 2015-02-26 Applied Materials, Inc. Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
TWI660429B (zh) 2013-09-27 2019-05-21 美商應用材料股份有限公司 實現無縫鈷間隙填充之方法
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
WO2015095803A1 (en) 2013-12-22 2015-06-25 Applied Materials, Inc. Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
KR101649356B1 (ko) * 2014-01-20 2016-08-18 주식회사 풍산 반도체 기판 처리장치
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN106463358B (zh) 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
CN106688080A (zh) 2014-09-08 2017-05-17 三菱电机株式会社 半导体退火装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016111833A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
EP3460827B1 (en) 2015-02-06 2022-05-25 Versum Materials US, LLC Compositions and methods using same for carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6826044B2 (ja) 2015-04-20 2021-02-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッファチャンバのウエハ加熱機構と支持ロボット
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI723993B (zh) 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
CN107836034B (zh) 2015-06-05 2022-07-19 东京毅力科创株式会社 用于互连的钌金属特征部填充
WO2016196105A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
CN108780819B (zh) 2016-03-11 2022-06-14 株式会社半导体能源研究所 复合体及晶体管
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
CN110431661B (zh) 2017-03-31 2023-09-22 应用材料公司 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
CN110573653B (zh) 2017-04-21 2022-01-11 应用材料公司 改良式电极组件
KR20190137935A (ko) 2017-05-01 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 진공 격리 및 사전-프로세싱 환경을 갖는 고압 어닐링 챔버
JP7175283B2 (ja) 2017-05-03 2022-11-18 アプライド マテリアルズ インコーポレイテッド 高温セラミックヒータ上の集積化基板温度測定
US10861681B2 (en) 2017-05-19 2020-12-08 Applied Materials, Inc. Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
WO2018222614A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Quality improvement of films deposited on a substrate
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
CN108195493A (zh) 2018-01-31 2018-06-22 中国计量大学 一种基于pcf马赫-泽德干涉仪(mzi)的高灵敏度应力传感装置
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
EP3756217A4 (en) 2018-02-22 2021-11-10 Applied Materials, Inc. PROCESS FOR TREATING A MASK SUBSTRATE ALLOWING A BETTER FILM QUALITY
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243374A (ja) * 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2009539231A (ja) 2006-02-10 2009-11-12 プンサン マイクロテック カンパニー リミティッド 高圧ガスアニーリング装置及び方法

Also Published As

Publication number Publication date
SG11202003355QA (en) 2020-05-28
JP2022165996A (ja) 2022-11-01
KR102396319B1 (ko) 2022-05-09
TWI800550B (zh) 2023-05-01
EP3707746B1 (en) 2023-12-27
CN111357090B (zh) 2024-01-05
US10720341B2 (en) 2020-07-21
EP3707746A4 (en) 2021-08-18
WO2019094481A1 (en) 2019-05-16
EP3707746A1 (en) 2020-09-16
US20190148178A1 (en) 2019-05-16
KR20230144106A (ko) 2023-10-13
TW201931496A (zh) 2019-08-01
US11527421B2 (en) 2022-12-13
CN111357090A (zh) 2020-06-30
US20200350183A1 (en) 2020-11-05
TW202333273A (zh) 2023-08-16
KR20220065077A (ko) 2022-05-19
EP4321649A2 (en) 2024-02-14
US11756803B2 (en) 2023-09-12
US20230093374A1 (en) 2023-03-23
JP7112490B2 (ja) 2022-08-03
JP2021502704A (ja) 2021-01-28
KR20200088381A (ko) 2020-07-22

Similar Documents

Publication Publication Date Title
KR102585074B1 (ko) 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102420059B1 (ko) 고압 처리 챔버를 위한 가스 전달 시스템
KR102509015B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들
CN117936417A (en) Gas delivery system for high pressure processing chamber
CN117936420A (en) Gas delivery system for high pressure processing chamber

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant