JP7112490B2 - 高圧処理チャンバのためのガス供給システム - Google Patents

高圧処理チャンバのためのガス供給システム Download PDF

Info

Publication number
JP7112490B2
JP7112490B2 JP2020525886A JP2020525886A JP7112490B2 JP 7112490 B2 JP7112490 B2 JP 7112490B2 JP 2020525886 A JP2020525886 A JP 2020525886A JP 2020525886 A JP2020525886 A JP 2020525886A JP 7112490 B2 JP7112490 B2 JP 7112490B2
Authority
JP
Japan
Prior art keywords
chamber
pressure
gas
gas supply
supply module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020525886A
Other languages
English (en)
Other versions
JP2021502704A (ja
Inventor
チーウェイ リャン,
シュリニヴァス ディ. ネマニ,
ショーン エス. カン,
アディーブ カーン,
エリー ワイ. イー,
Original Assignee
マイクロマテリアルズ エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロマテリアルズ エルエルシー filed Critical マイクロマテリアルズ エルエルシー
Publication of JP2021502704A publication Critical patent/JP2021502704A/ja
Priority to JP2022116801A priority Critical patent/JP2022165996A/ja
Application granted granted Critical
Publication of JP7112490B2 publication Critical patent/JP7112490B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Description

[0001] 本発明は、集積回路製造のための高圧処理チャンバに関する。
[0002] マイクロ電子回路及び他のマイクロスケールデバイスは、一般的に、シリコンなどの基板、又は他の半導体材料基板から製造される。複数の金属層が、マイクロ電子部品又は他のマイクロスケール部品を形成するために、或いは電気的接続を提供するために、基板上に適用される。これらの金属層(例えば銅)は、基板上にめっきされ、フォトリソグラフィ、めっき、エッチング、研磨、又はその他の一連の操作で部品及び配線(interconnect)を形成する。
[0003] 所望の材料特性を達成するため、基板は一般的に、通常約200~500℃まで急速に基板が加熱されるアニーリング処理にかけられる。基板は、比較的短時間、例えば、60~300秒間、これらの温度に保持されてもよい。その後、基板は急速に冷却されるため、通常、全工程はわずか数分間にすぎない。基板上の層の材料特性を変化させるために、アニーリングを使用することができる。それはまた、ドーパントを活性化するため、基板上の膜間でドーパントをドライブするため、膜間又は膜と基板との界面を変化させるため、堆積された膜を圧縮するため、或いはイオン注入による損傷を修復するために使用されてもよい。
[0004] マイクロ電子デバイス及び配線の特徴サイズが小さくなるにつれて、許容される欠陥率は実質的に減少する。いくつかの欠陥は、汚染粒子に起因する。他の欠陥は、基板のある領域の不完全な処理、例えば、トレンチの底部での膜の成長の不具合に起因する。
[0005] これまで様々なアニールチャンバが使用されてきた。単一基板処理装置では、これらのアニーリングチャンバは一般的に、基板の温度プロファイルを制御するため、基板を加熱素子と冷却素子との間に、或いは加熱素子及び冷却素子の上に配置する。しかしながら、正確で再現性のある温度プロファイルと許容可能なレベルの欠陥を達成することは、技術的な課題になりうる。
[0006] 一例として、基板上の層を処理するための高圧処理システムが提示されている。システムは、第1のチャンバと、第1のチャンバ内に基板を保持するための支持体と、第1のチャンバに隣接する第2のチャンバと、第2のチャンバからガスを除去するためのフォアラインと、第2のチャンバ内の圧力を低減させるように構成された真空処理システムと、第1のチャンバ内の圧力を第2のチャンバ内の圧力から分離するための、第1のチャンバと第2のチャンバとの間のバルブアセンブリと、一又は複数のガスを第1のチャンバ内に導入し、第1のチャンバ内にガスがあって、第1のチャンバが第2のチャンバから分離されている間に、第1のチャンバ内の圧力を少なくとも10気圧まで高めるように構成されたガス供給システムと、ガス供給システム及びバルブアセンブリを動作させるように構成されたコントローラと、第1のチャンバからガスを除去するための排気ラインを備える排気システムと、第1のガス供給モジュール及び第2のガス供給モジュールの両方を囲む共通ハウジングとを含む。ガス供給システムは、少なくとも10気圧である第1の圧力で第1のガスを供給するための第1のガス供給モジュールと、第1の圧力よりも低いが1気圧よりも高い第2の圧力で異なる組成の第1のガス又は第2のガスを供給するための第2のガス供給モジュールとを含む。
[0007] 実装は、以下の特徴のうちの一又は複数を含みうる。
[0008] 第2の排気システムは、共通ハウジングからガスを除去するように構成されうる。第2の排気システムは、ハウジングからフォアラインにガスを導くように構成されうる。第1及び第2の供給ラインは、第1及び第2のガス供給モジュールを第1のチャンバに連結しうる。密封容器筐体は、第1及び第2の供給ラインから漏れるガスをフォアラインに迂回するように構成されてもよい。共通ハウジングは、密封容器筐体から流体的に分離されうる。
[0009] 別の実施例では、基板上の層を処理するための高圧処理システムは、第1のチャンバと、第1のチャンバ内の基板を保持する支持体と、第1のチャンバに隣接する第2のチャンバと、第2のチャンバからガスを除去するためのフォアラインと、第2のチャンバ内の圧力を真空近くまで下げるように構成される真空処理システムと、第1のチャンバ内の圧力を第2のチャンバ内の圧力から分離するための、第1のチャンバと第2のチャンバとの間のバルブアセンブリと、第1のチャンバ内に一又は複数のガスを導入し、そのガスが第1のチャンバ内にあって、第1のチャンバが第2のチャンバから分離されている間に、第1のチャンバ内の圧力を少なくとも10気圧まで高めるように構成されたガス供給システムと、第1のチャンバからガスを除去するための排気ラインを備える排気システムと、コントローラとを含む。ガス供給システムは、少なくとも10気圧である第1の圧力で第1のガスを供給するための第1のガス供給モジュールと、第1の圧力よりも低いが1気圧よりも高い第2の圧力で異なる組成の第1のガス又は第2のガスを供給するための第2のガス供給モジュールとを含む。コントローラは、バルブアセンブリが第1のチャンバを第2のチャンバから分離し、次いで第2のガス供給モジュールが第1のチャンバを1気圧未満の圧力から第2の圧力まで高め、次いで第2のガス供給モジュールが第1のチャンバから分離され、次いで第1のガス供給モジュールが第1のチャンバを第2の圧力から第1の圧力まで高めるように、ガス供給システム、バルブアセンブリ、真空処理システム、及び排気システムを動作させるように構成される。
[0010] 実装は、以下の特徴のうちの一又は複数を含みうる。
[0011] 第1のガス供給モジュールは、第1のガスを第1のチャンバに供給する前に、第1のガスの圧力を高かめるように構成されたポンプを含んでもよい。第2のガス供給モジュールは、マスフローコントローラ、液体流量計、又は液体流量コントローラを使用して、ガスを第1のチャンバに誘導してもよい。第1の圧力センサは第1のチャンバ内に配置されてもよく、第2の圧力センサは第2のチャンバ内に配置されてもよい。コントローラは、排気システムに第1のチャンバ内の圧力を下げ、真空処理システムに第2のチャンバ内の圧力を下げるように構成されてもよい。コントローラは、第1の圧力センサ及び第2の圧力センサからの測定値を比較し、第1のチャンバ内の圧力が第2のチャンバ内の圧力よりも高くなるように、排気システム及び真空処理システムを制御するように構成されてもよい。
[0012] 別の実施例では、第1のチャンバと第2のチャンバとを1気圧未満の第1の圧力にすることと、第1のチャンバと第2のチャンバとの間の分離バルブが開いている間に、第2のチャンバから第1のチャンバへ基板を移送することと、分離バルブが閉じられて間に、第1のチャンバを第1の圧力から第2の圧力に下げ、第2のチャンバを第1の圧力から第3の圧力に下げることと、第2のガス供給モジュールを用いて第1のチャンバを大気圧より高く10気圧未満である第4の圧力に加圧することと、第1のガス供給モジュールを用いて第1のチャンバを10気圧超の第5の圧力まで加圧することと、第1のチャンバが第5の圧力にある間に基板を処理することと、第1のチャンバを排気することと、分離バルブを開いて第1のチャンバから基板を取り出すことと、を含む高圧処理システムの操作方法が提供される。
[0013] 実装は、以下の特徴のうちの一又は複数を含みうる。
[0014] 第1チャンバを第5の圧力まで加圧することは、第1チャンバに第1のガスを供給することを含み、第1チャンバを第4の圧力まで加圧することは、第1のチャンバに異なる組成の第2のガスを供給することを含みうる。第1のガスは、H又はNHのうち少なくとも1つを含みうる。第2のガス供給モジュールを用いて第の1チャンバを加圧することは、第1のガス供給モジュールと第1のチャンバとの間の供給ラインにおいて高圧分離バルブを用いて第1のチャンバから第1のガス供給モジュールを分離することと、第2のガス供給モジュールと第1のチャンバとの間の供給ラインにおいて低圧分離バルブを開くことによって第2のガス供給モジュールと第1のチャンバとを流体的に連結することを含みうる。第1のガス供給モジュールを用いて第1のチャンバを加圧することは、低圧分離バルブを用いて第2のガス供給モジュールを第1のチャンバから分離することと、高圧分離バルブを開くことによって第1のガス供給モジュールと第1のチャンバを流体的に連結することとを含みうる。
[0015] 第3の圧力は、第2の圧力よりも高くてもよい。第1のチャンバ内の第1の圧力センサ及び第2のチャンバ内の第2の圧力センサの測定値は比較され、第1のチャンバの圧力が第2のチャンバの圧力よりも高くなるまで、第1のチャンバ及び第2の圧力において、圧力が下げ続けられてもよい。第1のチャンバを真空引きすることは、第1のチャンバ内の圧力を第1の圧力を下回る第6の圧力まで下げることを含みうる。第6の圧力は、第3の圧力よりも高くなりうる。
[0016] 実装は、以下の利点のうちの一又は複数を含みうる。
[0017] より安全にチャンバ内に高圧を確立することができる。漏れを検出することができる。
[0018] 基板全体にわたってより均一に、層を処理又は形成することができる。さらに、高圧処理では、より低圧では得られない化学反応へのアクセスも可能である。
[0019] 一又は複数の実施形態の詳細を添付図面及び以下の説明において明記する。他の特徴、目的、及び利点は、明細書及び図面、並びに特許請求の範囲から明らかになる。
処理プラットフォームの図である。 安全機能を強化した高圧処理システムの図である。 高圧処理システムの操作方法を示すフロー図である。 安全機能を強化した高圧処理システムの別の実装の図である。 高圧処理システムの操作方法を示すフロー図である。 高圧処理システムのチャンバの概略側面図である。
[0026] 様々な図面における類似の参照符号は、類似の要素を示している。
[0027]上述のように、いくつかの欠陥は、基板のある領域の不完全な処理から生じることがある。しかしながら、高圧処理(例えば、アニーリング又は堆積)は、基板全体にわたる処理の一貫性を改善することができる。特に、アニーリングは高圧環境で起こりうる。例えば、熱酸化によるアニーリング処理、或いは、化学物質が拡散して基板上に堆積した物質と反応する他の処理、を用いて層が形成される場合には、高圧は基板上の材料層の表面被覆率の完全性を改善するのに役立つ。例えば、トレンチ内での層の処理形成の問題を低減することができる。その結果、基板全体にわたってより均一に層を処理又は形成することができる。さらに、高圧処理(例えば、アニーリング又は堆積)では、より低圧では得られない化学反応へのアクセスも可能である。
[0028] もう1つの問題は、銅などの特定の材料が、約70℃を超える温度で酸素に曝されると急速に酸化することである。銅や他の材料が酸化した場合は、基板は使用できなくなるか、処理を進める前に酸化物層を除去することが必要になりうる。効率的な製造のためには、これらはいずれも容認できない選択肢である。そのため、特に基板温度が約70℃を超える場合には、基板を酸素から隔絶することが設計上の要素となる。言うまでもなく酸素は大気中に存在するため、アニーリング中に銅の酸化を避けることもエンジニアリング上の課題となりうる。本書に記載のように、基板の汚染及び酸化を回避するため、基板は、高圧処理チャンバと低圧の(例えば、真空に近い環境の)別の処理チャンバとの間で移送可能である。
[0029] もう1つ検討事項は圧力である。非常に高い圧力は、製造される基板の一貫性及び品質を改善することができる。しかしながら、高圧(例えば、10気圧超、15気圧超、又は最大20気圧)のシステムは、密閉の破綻及び漏れの危険性が高い。安全機能が強化されたシステムは、このような超高圧処理の使用に有用である。
[0030] 図1は、物理的気相堆積、化学気相堆積、及び/又はアニーリング処理の少なくとも1つの実施形態を実行するのに適した一体型マルチチャンバ基板処理システムを示す。一般的に、マルチチャンバ基板処理システムは、堆積又はアニーリングなどの高圧処理を実行するため、例えば、10気圧超の圧力で動作可能な少なくとも1つの高圧処理チャンバと、エッチング、堆積、又は熱処理などの低圧処理を実行するため、例えば、1気圧未満の低圧で動作可能な少なくとも1つの低圧処理チャンバとを含む。いくつかの実装では、マルチチャンバ処理システムは、低圧であり、複数の処理チャンバにアクセス可能な中央移送チャンバを有するクラスタツールである。
[0031] 本明細書に記載の処理及びシステムのいくつかの実施形態は、特徴定義のために、材料、例えば、金属及び金属ケイ素化合物バリアの層を堆積することに関する。例えば、第1の金属層がシリコン基板上に堆積され、金属ケイ素化合物層を形成するためにアニールされる。次に、第2の金属層を金属ケイ素化合物層上に堆積させ、特徴を充填する。金属ケイ素化合物層を形成するためのアニーリング処理は、複数のアニーリング操作で実行されてもよい。
[0032] 図1は、一実施形態の概略上面図であり、2つの移送チャンバ102、104、移送チャンバ102、104に配置された移送ロボット106、108、及び2つの移送チャンバ102、104に配置された処理チャンバ110、112、114、116、118、130を含む処理プラットフォーム100である。第1及び第2の移送チャンバ102、104は、隣接する処理チャンバ110、112、114、116、118、130とインターフェースをとる中央真空チャンバである。
[0033] 第1の移送チャンバ102と第2の移送チャンバ104は、通過(pass-through)チャンバ120によって分離されており、これは冷却チャンバ又は予加熱チャンバを含みうる。また、通過チャンバ120は、第1の移送チャンバ102と第2の移送チャンバ104とが異なる圧力で動作する場合に、基板の取り扱い中にポンプで減圧、又は換気されてもよい。例えば、第1の移送チャンバ102は、約100ミリTorrから約5Torrの間(例えば、約40ミリTorr)で動作し、第2の移送チャンバ104は、約1×10ー5Torrから約1×10ー8Torrの間(例えば、約1×10ー7Torr)で動作しうる。
[0034] 処理プラットフォーム100は、プログラムされたコントローラ122によって操作される。コントローラ122は、移送ロボット106、108を制御して、基板をチャンバ間で移送することができ、処理プラットフォーム100のチャンバの各々に、基板を処理するための個別の操作を行わせることができる。
[0035] 第1の移送チャンバ102は、2つの脱気チャンバ124、2つのロードロックチャンバ128、反応性予備洗浄チャンバ118、少なくとも1つの物理的気相堆積チャンバ110、及び通過チャンバ120に連結される。予備洗浄チャンバは、カリフォルニア州サンタクララのApplied Materials社から市販されているPreClean IIチャンバであってもよい。基板(図示せず)は、ロードロックチャンバ128を通って処理プラットフォーム100に装填される。例えば、ファクトリインターフェースモジュール132がある場合、これは、人間のオペレータ又は自動基板取扱システムのいずれかから、一又は複数の基板(例えば、基板のカセット、又は基板の封入ポッド)を受容する役割を担う。ファクトリインターフェースモジュール132は、適用可能であれば、基板のカセット又はポッドを開き、基板をロードロックチャンバ128との間で移動させることができる。処理チャンバ110、112、114、116、118、130は、移送チャンバ102、104から基板を受け取り、基板を処理し、基板を移送チャンバ102、104に戻すことができる。処理プラットフォーム100に装填された後、基板は、それぞれ脱気チャンバ124及び予備洗浄チャンバ118内で順次脱気及び洗浄される。
[0036] 処理チャンバの各々は、処理チャンバが移送チャンバ102、104とは異なるレベルの真空で動作することを可能にし、処理チャンバ内で使用されるガスが移送チャンバ内に導入されることを防止する分離弁によって、移送チャンバ102、104から分離される。また、ロードロックチャンバ128は、分離バルブを備えた移送チャンバ102、104から分離されている。各ロードロックチャンバ128は、外部環境に対して開かれた、例えば、ファクトリインターフェースモジュール132に対して開かれたドアを有する。通常の操作では、基板が装填されたカセットはファクトリインターフェースモジュール132のドアを通ってロードロックチャンバ128内に配置され、ドアが閉じられる。ロードロックチャンバ128は次に、移送チャンバ102と同じ圧力になるまで排気され、ロードロックチャンバ128と移送チャンバ102との間の分離弁が開放される。移送チャンバ102内のロボットは、所定の位置に移動され、1つの基板がロードロックチャンバ128から取り出される。ロードロックチャンバ128は、1つの基板がカセットから取り外されるようにエレベータ機構を備えており、エレベータは、基板のスタックをカセット内で移動させて、別の基板を移送平面内に位置決めすることにより、ロボットブレード上に位置決めすることができる。
[0037] 移送チャンバ102内の移送ロボット106は、基板が処理チャンバ位置と位置合わせされるように、基板と共に回転する。処理チャンバは、有毒ガスが洗い流されたのち、移送チャンバと同じ圧力レベルにされ、分離弁が開かれる。移送ロボット106は次に、基板を処理チャンバ内に移動し、そこでロボットから持ち上げて外す。移送ロボット106は次に、処理チャンバから後退し、分離弁が閉じられる。次に、処理室は一連の操作を実行して、基板上で所定の処理を実行する。完了すると、処理チャンバは移送チャンバ102と同じ環境に戻され、分離弁が開かれる。移送ロボット106は、基板を処理チャンバから取り出し、別の操作のために別の処理チャンバに移動させるか、又は基板のカセット全体が処理されたときに処理プラットフォーム100から取り出されるように、ロードロックチャンバ128内で交換する。
[0038] 移送ロボット106、108はそれぞれ、異なる処理チャンバ間で基板を支持し、移動するロボットアーム107、109を含む。移送ロボット106は、脱気チャンバ124と予備清浄チャンバ118との間で基板を移動させる。次に、基板は、その上に材料を堆積させるためにロングスローPVDチャンバ110に移送されてもよい。
[0039] 第2の移送チャンバ104は、処理チャンバ116、112、114、130のクラスタに連結される。処理チャンバ116、112は、オペレータが望むように、タングステンなどの材料を堆積させるための化学気相堆積(CVD)チャンバとすることができる。PVD処理された基板は、通過チャンバ120を介して、第1の移送チャンバ102から第2の移送チャンバ104に移動される。その後、移送ロボット108は、処理に必要とされる材料堆積及びアニーリングのために、処理チャンバ116、112、114、130のうちの一又は複数の間で基板を移動させる。
[0040] 言うまでもなく、上記はすべて単なる例示的な実装であり、各移送チャンバは、1~5個のチャンバなど、異なる数の処理チャンバを有する。処理チャンバは、機能を様々に分散することが可能で、システムは異なる数の移送チャンバを有しうる。例えば、単一の移送チャンバ、異なる数の移送チャンバを有することができる。移送チャンバを完全に省略することも可能で、システムには単一のスタンドアロン処理チャンバのみを有することもある。
[0041] 図2は、基板が処理チャンバ間で移送されているときに、基板を処理するための高圧環境及び基板のための低圧環境を作り出す制御された高圧処理システム200を示す。制御された高圧処理システム200は、高圧の内側の第1のチャンバ202と低圧の外側の第2チャンバ204を含む。
[0042] 第1のチャンバ202は、処理プラットフォーム100の処理チャンバ110、112、114、116、118、130のうちの1つに対応することができ、第2のチャンバ204は、処理プラットフォーム100の移送チャンバ102、104のうちの1つに対応することができる。代替的に、いくつかの実装では、処理チャンバ110、112、114、116、118、130のうちの1つは、第1のチャンバ202と第2のチャンバ204の両方を含む。第1のチャンバ202は、内側のチャンバに対応し、第2のチャンバ204は、内側のチャンバを取り囲む外側のチャンバに対応しうる。
[0043] 第1のチャンバ202内の圧力は、第2のチャンバ204の圧力とは独立に制御することができる。第1及び第2のチャンバ202、204が移送チャンバとは別個である場合、第1及び第2のチャンバ202、204は、移送チャンバ内の圧力とは独立に制御される圧力を有しうる。制御された高圧システム200は、ガス供給システム206と、真空処理システム208と、コントローラ210とをさらに含む。いくつかの例では、処理プラットフォーム100のコントローラ122は、コントローラ210を含みうる。
[0044] 第1のチャンバ202は、非常に高い圧力、例えば、少なくとも10気圧の圧力、例えば、40~80気圧の圧力に適応するように構成される(例えば、密閉され、補強される)。対照的に、第2のチャンバ204は、非常に低い圧力、例えば、1気圧未満の圧力、例えば、約100ミリTorrまでの圧力に適応するように構成される(例えば、密閉され、補強される)。第2のチャンバ204の低圧環境は、基板又は基板上に堆積された材料の汚染及び/又は酸化を抑制することができる。
[0045] 第2のチャンバ204は、第1のチャンバ202に隣接している。いくつかの実装では、第2のチャンバ204はまた、第1のチャンバ202を取り囲む(第2のチャンバ204が第1のチャンバを取り囲んでいない場合でも、第2のチャンバは、基板が第2のチャンバを通過して第1のチャンバに到達するという点で、依然として外側のチャンバと見なしうる)。いくつかの実装では、第2のチャンバ204は、第1のチャンバ202を実質的に(例えば、少なくとも80%)取り囲む。
[0046] 上述のように、第2のチャンバ204は、異なる処理チャンバ間で基板を受け入れる移送チャンバ(例えば、移送チャンバ102又は移送チャンバ104)に対応することができる。代替的に、第2のチャンバ204は、第1のチャンバ202と移送チャンバ102又は移送チャンバ104との間に位置する別個のチャンバであってもよい。
[0047] 内側の(例えば、第1の)チャンバ202は、例えば、アニーリングを受ける又は材料の層が堆積される、処理される基板10などのワークピースを支持するための基板支持体218(例えば、ペデスタル)を含む。支持体218は、第1のチャンバ202内に配置されるか、又は配置可能である。いくつかの実装では、基板10は、ペデスタルの平坦な上面に直接配置される。いくつかの実装では、基板はペデスタルから突出するリフトピン上に位置する。
[0048] 第1のチャンバ202と第2のチャンバ204との間の第1のバルブアセンブリ212は、第1のチャンバ202内の圧力を第2のチャンバ204内の圧力から分離する。したがって、第1のチャンバ202内の高圧環境を、第2のチャンバ204内の低圧環境から分離し、密閉することができる。第1のバルブアセンブリ212は、基板10が第2のチャンバ204から第1のチャンバ202へ移動することを可能にするか、又は基板が第1のチャンバ202から第2のチャンバ204へ又はそれを通って移動することを可能にするように開放可能である。
[0049] 第2のチャンバ204と外部環境(例えば、移送チャンバ)との間の第2のバルブアセンブリ213は、第2のチャンバ204内の圧力を第2のチャンバ204の外側の圧力から分離する。
[0050] ガス供給システム206は、第1チャンバ202を加圧するように構成される。特に、ガス供給システム206は、第1のチャンバ202に処理ガスを供給することが可能で、第1のチャンバ内で、例えば、少なくとも10気圧、例えば、15気圧超、20気圧超、30気圧超、最大50気圧、最大60気圧、最大70気圧、最大80気圧の高圧を確立する。処理ガスは、例えば、アニーリング処理中に、基板10と、例えば基板10上の層と反応すること、又は基板上に堆積される材料の供給源として機能することができる。
[0051] いくつかの実装では、ガス供給システム206は、第1のガスを第1のチャンバ202に供給するための第1のガス供給モジュール242と、第1のガス又は第2のガスのいずれか、又は第1のガスとは異なる組成を第1のチャンバ202に供給するための第2のガス供給モジュール244とを含む。第1のガス供給モジュール242は、第1のガスを、例えば10~80barの圧力で、第1のチャンバ202に高圧で供給するように構成される。対照的に、第2のガス供給モジュール244は、低圧で、例えば1bar未満でガスを供給するように構成される。
[0052] 供給モジュール242、244は、それぞれのガスを供給する設備供給部又はガスタンクに接続される。供給モジュール242、244は、それぞれの供給ライン252、254によってチャンバ202に接続される。第1のガス供給モジュール242への供給ライン252は、高圧分離バルブ232を含むことができ、第2のガス供給モジュール244への供給ライン254は、低圧分離バルブ234を含むことができる。
[0053] 第1のガスは、大気圧を超える圧力で第1のガス供給モジュール242に供給され得るが、第1のチャンバ内の最終圧力と比べると依然として相対的に低い。例えば、第1のガスは、40~80psi(約2.7~5.4atm)の圧力で第1のガス供給モジュール242に供給することができる。第1のガス供給モジュール242は、ブースターポンプなどのポンプを含む。ポンプは、流入する第1のガス、例えば水素ガスの圧力を上昇させる。ポンプ372は、圧力を約2~20倍、場合によっては80気圧まで上昇させることができる。
[0054] ガスは、大気圧より高い圧力で第2のガス供給モジュール244に供給されうるが、第1のチャンバ内の最終圧力と比べると依然として相対的に低い。例えば、ガスは、40~80psi(約2.7~5.4atm)の圧力で第2のガス供給モジュール244に供給することもできる。しかしながら、第2のガス供給モジュール244は、ポンプを含む必要はない。むしろ、従来の質量流量コントローラ、液体流量計又は液体流量コントローラを使用して、ガスを第1のチャンバ202に導くことができる。
[0055] 第1のガス供給モジュール242及び第2のガス供給モジュール244は、共通ハウジング246内に収容可能である。いくつかの実装では、ハウジング246の内部は、後述する他の密封容器から流体的に分離される。排気システム248は、ハウジング246の内部を排気に使用することができる。これにより、ガス供給システムから漏れが発生した場合に、ハウジング内に腐食性ガス又は爆発性ガスが蓄積するのを防ぐことができる。いくつかの実装では、密封容器アセンブリは複数の部品を含み、各部品はそれぞれのガス供給モジュールを取り囲み、密閉する耐圧筐体である。例えば、第1のガス供給モジュール242は、第1のハウジング内に封入され、蒸気供給モジュール244は、ハウジング内に封入されうる。排気システム248は、フォアライン214に連結すること、或いは別個の真空システムに連結することができる。
[0056] 第1のガスは、処理ガス(例えば、H、NH、O又はO)を含む。いくつかの実装では、第1のガスは、実質的に純粋な処理ガスである。代替的に、第1のガスは、処理ガスと不活性ガス(例えば、アルゴン)の両方を含みうる。
[0057] 上記のように、第2のガス供給モジュール244からのガスは、第1のガスと同じ組成であってよく、又は異なる第2のガスであってもよい。第2のガスは、実質的に純粋な処理ガス、又は処理ガスと不活性ガスとの組み合わせであってもよい。いくつかの実装では、第2のガスは水を含み、例えば、第2のガスは乾燥蒸気又は過熱蒸気などの蒸気であってよい。
[0058] 高圧システム200は、第2チャンバ204を真空処理システム208に接続するフォアライン214を含む。外側の分離バルブ216は、第2チャンバ204内の圧力を真空処理システム208の圧力から分離するために、フォアライン214に沿って配置される。外側の分離バルブ216は、第2チャンバ204内の圧力を調整し、第2チャンバ204内のガスを放出するように動作させることができる。外側の分離バルブ216は、第2チャンバ204内の圧力を調整するために、真空処理システム208と連動させることができる。
[0059] 真空処理システム208は、第2のチャンバ204の圧力を、例えば1ミリTorr未満の真空に近い圧力に下げるように構成される。特に、真空処理システム208は、第2のチャンバ204内の圧力を真空近くまで下げることができ、それによって、基板の移送のための適切な低圧環境を作り出すことができる。操作中、第1のチャンバ202内で達成される超高圧(例えば、10気圧超、15気圧超)は、第2のチャンバ204内に同様のより高い圧力(約1気圧未満(例えば、約0.85気圧又は640Torr))を必要とする。
[0060] いくつかの例では、真空処理システム208は、ドライラインポンプを含む。異常に高い圧力に対応するため(例えば、漏れによって引き起こされる高圧がドライラインポンプを破壊するのを防ぐため)、ガスはドライラインポンプに到達する前に膨張する。いくつかの例では、ガスは、大口径(例えば、直径20インチ)で高さ5フィートのディフューザーを通って流れる。
[0061] ガス供給システム206は、第1チャンバ202から第1のガスを排気し、それによって第1のチャンバ202を減圧する排気ライン211を含む。幾つかの実装では、排気ラインは、排気システム、例えば、フォアライン214及び真空処理システム208、又は別個の真空システム源に連結される。排気ライン211は、第1のチャンバ202を排気システムから分離するために閉じることができる内側の排気分離バルブ230を含みうる。
[0062] 安全性を高めるために、システム200は密封容器アセンブリを含みうる。密封容器アセンブリは、少なくとも、供給ライン252、254を包含する密封容器筐体260を含み、供給ラインはチャンバ204に入り、チャンバ202に流体接続される。さらに、各供給ライン252、254は、ハウジング246と筐体260との間に延在するそれぞれの導管256、258内に収納できる。
[0063] 密封容器アセンブリは、密封容器排気ライン268も含みうる。密封容器排気ライン268は、密封容器筐体260と排気システムとの間の排気ライン211を囲い込む。密封容器排気ライン368はまた、密封容器筐体360を排気システムに(例えば、フォアライン214及び真空処理システム208、又は別個の真空システム源に)流体的に接続する。したがって、供給ライン252、254内、又は供給ラインと第2チャンバ204との接合部からの漏れは、密封容器筐体360を通って引き出され、排気システムに通気される。
[0064] 各ライン供給ライン252、254は、密封容器筐体260内に圧力軽減バルブ252a、254aを備えた圧力軽減ラインを有する。圧力軽減ラインによって解放される供給ライン352、354、356内部のあらゆる圧力蓄積は、密封容器筐体360内に流れ込み、例えば密封容器排気ライン368によって、又は場合によっては、排気システム311に接続された別個の排気チャネル369を介して、システム300から除去される。
[0065] システム200はまた、第1チャンバ202を圧力軽減バルブ276に連結する圧力軽減ラインを含む。圧力軽減バルブ276は、第2のチャンバ204内に位置決めされうる。この場合、第1のチャンバ202内の圧力が許容レベルを超えると、圧力軽減バルブ276によって解放されたガスは、外側チャンバ204内に流れ込み、フォアライン214を通って除去される。代替的に、圧力軽減バルブ276は、密封容器筐体360内に配置することができる。この場合、圧力軽減バルブ276によって解放されたガスは、排気ライン211を通って除去される。
[0066] したがって、システム200が、加圧ガスを外気に曝すことなく、予期せぬ漏れ、破裂、又は破損を軽減することができるように、すべての加圧部品を密封容器アセンブリ内に収容することができる。
[0067] 複数のガスセンサ280がシステム200に含まれる。特に、ガスセンサ288は、水素センサとすることができる。センサ280は、密封容器筐体260の内側、及び内部排気ライン268などの、漏れの可能性がある箇所に統合される。いずれかのセンサ280が、ガス漏れ(例えば水素漏れ)を検出した場合、コントローラ210は、センサ280からの信号を検出し、まずガス供給モジュール242を遮断するか、第1のガス供給モジュール242内のポンプを遮断するか、又は他の適切な措置を講じる。供給ライン252、254内の分離バルブは、一又は複数のセンサ280によって検出される漏れに応答して閉じることもできる。
[0068] 加えて、システム200は、一又は複数の圧力センサ282を含みうる。例えば、第1のチャンバ202内に第1の圧力センサ282が存在し、第2のチャンバ204内に第2の圧力センサ282が存在しうる。圧力センサ282は、コントローラ210に連結される。
[0069] 基板を処理するためのシステム200を動作させる方法を図3に示す。システム200は、分離バルブ212、213が開いた状態で始動する。基板は、ロボット106又は108によって、開放バルブ212、213及び第2のチャンバ204を通って、第1チャンバ202に挿入される(操作302)。コントローラは、ロボットを操作して、基板10を第1のチャンバ202内に運び込み、基板10をペデスタルの上に配置することができる。
[0070] 第1及び第2のチャンバ202、204は、真空システムによって第1の圧力(例えば、100~300ミリTorr)までポンプダウンされ、次に基板10の移送中には低圧に維持される(操作304)。これは基板10の酸化防止に役立つ。
[0071] 第1の分離バルブ212は閉じられている(操作306)。任意選択で、第2の分離バルブ213を閉じることもできる。
[0072] 真空システムは、第1の圧力よりも低い第2の圧力まで第1のチャンバ202をさらにポンプダウンし、第2の圧力よりも低い第3の圧力(操作308で)まで第2のチャンバ204をポンプダウンするために使用される。例えば、第1及び第2の圧力は共に1~50ミリTorrであってよい。第1の圧力は100~300ミリTorr、第2の圧力は1~50ミリTorrとすることができる。
[0073] 第1及び第2のチャンバ202、204内の圧力はセンサ282によって測定され、コントローラはセンサ282から信号を受信することができる。
[0074] チャンバ202、204のいずれかで圧力が漏れ閾値を超える場合には、ガスが外部環境からチャンバ内へ漏れていることを示唆しうる。この場合、基板の処理を終了することができる。
[0075] さらに、コントローラは測定された圧力を比較することができる(操作310)。第1のチャンバ内の圧力P1と第2のチャンバ内の圧力P2との差が閾値を超えない場合には、チャンバの排気を継続することができる。
[0076] チャンバ202、204が所望の圧力に達すると、内側の排気分離バルブ230が閉じられ、低圧分離バルブ234が開く(操作312)。これにより、第1のチャンバ202は排気システムから分離されるが、第1のチャンバ202は第2のガス供給モジュール244に連結される。
[0077] 次に、第2のガス供給モジュール244は、第1のガス又は第2のガスのいずれかを第1のチャンバ202に供給する(操作314)。これにより、第1のチャンバ202の圧力は、第1の圧力を上回る第4の圧力に上昇する。第4の圧力は、大気圧を上回る(例えば、40~80psiの圧力)ことがありうる。第2のガス供給モジュール244によるガスの供給は、圧力サーボ制御アルゴリズムを使用しないなど、通常の流量制御で行うことができる。
[0078] 内側のチャンバ202が第4の圧力まで上昇すると、低圧分離バルブ234が閉じられ、高圧分離バルブ232が開く(操作316)。これは、第1のチャンバ202を第2のガス供給モジュール244から分離し、その後の作業で、例えば高圧による第2のガス供給モジュール244への損傷を防ぐ。これはまた、第1のチャンバ202を第1のガス供給モジュール244に連結する。
[0079] 次に、第1のガス供給モジュール242は、第1のガスを第1のチャンバ202に供給する(操作318)。これにより、第1のチャンバ202内の圧力は、第4の圧力を上回る第5の圧力まで高まる。上述のように、第5の圧力は10~80気圧になりうる。第1のガス供給モジュール244によるガスの供給は、圧力サーボ制御アルゴリズムを使用してコントローラ210によって制御することができる。
[0080] コントローラは、第1のチャンバ202内部の測定された圧力P1を所望の処理圧力PPと比較することができる(操作320)。第1のチャンバ内の圧力P1が所望の処理圧力PP未満である場合には、第1のチャンバ202の加圧を継続することができる。
[0081] 内側のチャンバ202が第5の圧力まで上昇すると、高圧分離バルブ232は閉じられる(操作322)。これは、第1のチャンバ202を第1のガス供給モジュール242から分離する。
[0082] 次に、基板10は第1のチャンバ202内で処理される(操作324)。処理は、例えばコントローラ内のタイマによって測定されるように、設定された時間だけ続行することができる。第1のガスは、基板10の上の層と反応するアニーリングガスになりうる。代替的に、ガスは、基板10の上に堆積される材料を含みうる。第1のチャンバ202内の適正な温度及び圧力の条件は、材料のアニーリング又は堆積を生じさせうる。処理、例えば、アニーリング又は堆積の間、コントローラは、支持体218内の一又は複数の加熱素子219を操作して、基板10に熱を加え、基板10の上の材料層の処理を容易にすることができる。
[0083] 基板10の上の材料層の処理が完了すると、外側の分離バルブ216が閉じられ、内側の分離バルブ230が開く(操作326)。これにより、第1のチャンバ202だけが排気システムに連結され、一方、第2チャンバ204は密閉されたままである。
[0084] 内側のチャンバは、第6の圧力までポンプダウンされる(操作328)。第6の圧力は、第1の圧力よりも低いが、第3の圧力よりも高く、例えば、第2の圧力にほぼ等しくすることができる。したがって、圧力は、第1のチャンバ202と第2のチャンバ204との間の圧力差が小さくなるように、真空に近い圧力になっている。
[0085] コントローラは再び、測定された圧力を比較することができる(操作330)。第1のチャンバ内の圧力P1と第2のチャンバ内の圧力P2との差が閾値を超えない場合には、チャンバの排気を継続することができる。
[0086] 内側のチャンバ202が第6の圧力に達すると、第1の分離バルブ212が開かれる(操作232)。さらに、閉じている場合には、第2の分離バルブも同様に開くことができる。その後、外側の排気分離バルブ116が開かれる。内側の排気と外側の排気が共に同じフォアラインを共有しているため、内側の排気中に外側の排気分離バルブを閉じたままにしておくと、リフトピンとヒーターベローズを損傷から守ることができる。
[0087] 最後に、基板10は、ロボット106又は108を使用して第1のチャンバ202から取り出され、必要に応じて後続の処理チャンバに移送される。
[0088] 図4は、基板が処理チャンバ間で移送されているときに、基板を処理するための高圧環境及び基板のための低圧環境を作り出す制御された高圧処理システム200’を示す。システム200’は、第2のガス供給モジュール244’が、高圧(例えば10~80barの圧力)で第2のガスを第1のチャンバ202に供給することができる高圧ガス供給モジュールであることを除いて、システム200と同じものとすることができる。第2の気体は、水蒸気などの液体蒸気である。供給ライン254内のバルブ234’は、第2の高圧分離バルブである。
[0089] 基板を処理するためにシステム200又は200’を動作させる方法を図5に示す。この処理は、以下で説明する点を除いて、図3を参照して説明した処理と同様である。
[0090] 特に、システム200又は200’を操作する方法は、複数の段階ではなく、1回の操作で第1のチャンバ202内の高圧に達するようにガスを供給する。したがって、この処理は、システム200の第1のガス供給モジュール242のみを使用して、或いはシステム200’の第1のガス供給モジュール242のみを使用して、或いはシステム200’の第2のガス供給モジュール244’のみを使用して、或いはシステム200’の第1のガス供給モジュール242及び第2のガス供給モジュール244’の両方を使用して実行することができるが、第1のガス供給モジュール242(例えば、ガス供給モジュールの分離バルブが同時に開閉するなど)を模倣するように、第2のガス供給モジュール244’を操作することができる。
[0091] 具体的には、内側の排気分離バルブ230が閉じられ(操作312’)、高圧分離バルブ232及び/又は234’が開かれる(操作316’)。第1のガス供給モジュール242及び/又は第2のガス供給モジュール244’は、第1のガス及び/又は第2のガスを第1のチャンバ202に供給する(操作318’)。これにより、第1チャンバ202内の圧力は、第2の圧力から第5の圧力まで高まる。上述のように、第5の圧力は10~80気圧になりうる。第1のガス供給モジュール244によるガスの供給は、圧力サーボ制御アルゴリズムを使用してコントローラ210によって制御することができる。
[0092] 第1のチャンバ202に供給されるガスは、例えば、システム200の第1のガス供給モジュール242のみ、又はシステム200’の第1のガス供給モジュール242のみが使用される場合には、H又はNHを含みうる。代替的に、例えば、システム200’の第2のガス供給モジュール244’のみが使用される場合には、第1のチャンバ202に供給されるガスは、液体蒸気(例えば、水蒸気)を含みうる。代替的に、例えば、システム200’の第1のガス供給モジュール242及び第2のガス供給モジュール244’の両方が使用される場合には、第1のチャンバ202に供給されるガスは、ステム(stem)と別の処理ガスとの混合物を含みうる。
[0093] 図4は、高圧処理システム200(又は200’)における第1のチャンバ202及び第2のチャンバ204の可能な構成を示す。高圧処理システム200はさらに、第1のチャンバ202と第2のチャンバ204との間にバルブアセンブリ212を含む。この実装は、第2のチャンバ204を移送チャンバの一部とすることができ、例えば、圧力平衡状態にすることができる。
[0094] 第2のチャンバ204は、内壁420と外壁424との間の容積によって画定することができる。さらに、基板10は(基板支持体218を提供する)ペデスタル418上に支持可能である。一又は複数の素子219(例えば、抵抗ヒータ)は、ペデスタル418内に埋め込むことができる。基板は、ペデスタル418上に直接載置することも、ペデスタルを通って延在するリフトピンアセンブリ430上に載置することができる。
[0095] バルブアセンブリ212は、第1のチャンバ202の内壁420及び基部422に対して移動可能なアーム425によって形成される。特に、バルブアセンブリ212は、第1のチャンバ202と第2のチャンバ204との間にスリットバルブ423を含む。スリットバルブ423は、スリット423aとアーム425とを含む。スリット423aは、第1のチャンバ402の内壁420のうちの1つを通って延在する。アーム425の垂直端部425aは、第1のチャンバ202の外側に配置され、一方、アーム425の水平端部425bは、第1のチャンバ202内に配置される。アーム425の垂直端部425aは、第2のチャンバ204内に位置決めされ、第2のチャンバ204内に位置決めされたアクチュエータによって駆動されうる。代替的に、アーム425の垂直端部425aは、第2のチャンバ204の外側に配置され、したがって、第2のチャンバ204の外側にも配置されるアクチュエータ428によって駆動される。
[0096] アーム425は、スリット423aを通って延在し、壁420に対して移動可能であるため、アーム425は、壁420とシ密閉を形成する位置まで移動可能である。アクチュエータ428は、アーム425の垂直端425aに連結され、壁420に対してアーム425の水平端425bを駆動する。アーム425は、スリット423aを隠す又は露出するように、垂直に移動可能である。具体的には、アーム425の垂直端部425aは、内壁420の隣接する内面に実質的に平行に延びるフランジであってよく、又はそれを含んでいてもよい。アーム425は、アーム425の水平端部425bが壁420と係合又は係合解除できるように、横方向に駆動することもできる。アーム425はまた、外壁424の開口426を通って延在しうる。
[0097] バルブアセンブリ212は、開位置と閉位置との間で移動可能である。バルブアセンブリ212が開位置にあるとき、アーム425の水平端部425bは、壁420、例えば壁420の内面から横方向に離間されている。さらに、アーム425の水平端425bは、スリット423aが露出するように垂直に位置決めされている。このように、スリット423aは、第1のチャンバ202と第2のチャンバ204との間の流体連通を可能にし、また、基板10を、例えば上述のロボットによって、第1のチャンバ202の内外に移動させることを可能にする開口部を提供する。
[0098] バルブアセンブリ212が閉位置にあるとき、アーム425の水平端部425bは、スリット423aを覆い、壁420の一方に接触し、それによって、第1のチャンバ202を第2のチャンバ204から分離する密閉を形成する。加圧されると、フランジ又は水平端部425bは、第1のチャンバ202を画定する壁420の内面に接触する。壁420に接触する表面の水平端部425bの円周に沿ってOリングが配置され、第1のチャンバ402が加圧されるときに密封容器の密閉を補強するのに役立つ。
[0099] ペデスタル418内の加熱素子219は、第1のチャンバ202内のガスを、例えば250℃まで加熱する。Oリングの損傷を防止するために、アーム425は内部ガスチャンネル480を含むことができる。内部ガスチャンネル480は、冷却ガスサプライ484から供給され、アーム425を通って冷却ガスを流す導管である。内部ガスチャネル480は、水平端部425bを通って、或いは水平端部425bと垂直端部425aの両方を通って延在しうる。内部ガスチャネル及び冷却ガスサプライ484は、バルブアセンブリ212が開位置にあるときには冷却ガスサプライ484からガスが利用できなくなり、基板の移送が行われているときには冷却ガスの流れを妨げるように構成されうる。
[0100] 本発明の数多くの実施形態が説明されている。しかしながら、本発明の本質及び範囲から逸脱しない限り、様々な修正が行われうることを理解されたい。例えば、上記では、コバルト又はニッケル層膜からの金属ケイ素化合物層の形成について説明したが、実装によっては、他の材料を使用することができる。例えば、本書に記載の金属ケイ素化合物材料を形成するため、他の材料には、チタン、タンタル、タングステン、モリブデン、白金、鉄、ニオブ、パラジウム、及びそれらの組み合わせ、並びにニッケルコバルト合金、コバルトタングステン合金、コバルトニッケルタングステン合金、ドープコバルト及びニッケル合金、又はニッケル鉄合金を含む他の合金が含まれる。
[0101] アニーリング又は堆積システムに関連して上述したが、提供されるガスに応じて、高圧チャンバをエッチングシステムに使用することができる。代替的に、高圧チャンバに不活性ガスを充填し、高圧チャンバを純粋に高圧での熱処理に用いることもできる。本書に記載の処理プラットフォームは、他のタイプの処理チャンバを含みうる。例えば、処理プラットフォームは、基板の表面上にパターンをエッチングするためのエッチングチャンバを含むことができる。
[0102] 処理プラットフォームの異なるチャンバの各々は、真空に近いものから50気圧を超えるものまで、様々な圧力環境を有することができる。チャンバ間の分離バルブ、例えば真空バルブは、このような様々な圧力環境を各チャンバ内に維持することができるように、圧力を互いに分離することができる。
[0103] したがって、他の実施形態は特許請求の範囲の範囲内にある。
また、本願は以下に記載する態様を含む。
(態様1)
基板上の層を処理するための高圧処理システムであって、
第1のチャンバと、
前記第1のチャンバに前記基板を保持する支持体と、
前記第1のチャンバに隣接する第2のチャンバと、
前記第2のチャンバからガスを除去するフォアラインと、
前記第2のチャンバ内の圧力を真空近くまで下げるように構成された真空処理システムと、
前記第1のチャンバ内の前記圧力を前記第2のチャンバ内の前記圧力から分離するための、前記第1のチャンバと前記第2のチャンバとの間のバルブアセンブリと、
前記第1のチャンバに一又は複数のガスを導入し、前記ガスが前記第1のチャンバ内にあって、前記第1のチャンバが前記第2のチャンバから分離されている間に、前記第1のチャンバ内の前記圧力を少なくとも10気圧まで高めるように構成されているガス供給システムであって、
第1のガスを少なくとも10気圧である第1の圧力で供給する第1のガス供給モジュール、及び、
前記第1のガス又は異なる組成の第2のガスを、前記第1の圧力未満であるが1気圧を超える第2の圧力で供給する第2のガス供給モジュール
を含むガス供給システムと、
前記ガス供給システムと前記バルブアセンブリとを操作するように構成されたコントローラと、
前記第1のチャンバからガスを除去する排気ラインを備える排気システムと、
前記第1のガス供給モジュールと前記第2のガス供給モジュールとを共に取り囲む共通ハウジングと、
を備える高圧処理システム。
(態様2)
前記共通ハウジングからガスを除去するように構成された第2の排気システムを備える、態様1に記載のシステム。
(態様3)
前記第2の排気システムは、前記ハウジングから前記フォアラインまでガスを導くように構成される、態様2に記載のシステム。
(態様4)
前記第1及び第2のガス供給モジュールを前記第1のチャンバに連結する第1及び第2の供給ラインと、前記第1及び第2の供給ラインから漏れるガスを前記フォアラインまで迂回させるように構成された密封容器筐体とを備える、態様1に記載のシステム。
(態様5)
前記共通ハウジングは、前記密封容器筐体から流体的に分離されている、態様4に記載のシステム。
(態様6)
基板上の層を処理するための高圧処理システムであって、
第1のチャンバと、
前記第1のチャンバに前記基板を保持する支持体と、
前記第1のチャンバに隣接する第2のチャンバと、
前記第2のチャンバからガスを除去するフォアラインと、
前記第2のチャンバ内の圧力を真空近くまで下げるように構成された真空処理システムと、
前記第1のチャンバ内の前記圧力を前記第2のチャンバ内の前記圧力から分離するための、前記第1のチャンバと前記第2のチャンバとの間のバルブアセンブリと、
前記第1のチャンバに一又は複数のガスを導入し、前記ガスが前記第1のチャンバ内にあって、前記第1のチャンバが前記第2のチャンバから分離されている間に、前記第1のチャンバ内の前記圧力を少なくとも10気圧まで高めるように構成されているガス供給システムであって、
第1のガスを少なくとも10気圧である第1の圧力で供給する第1のガス供給モジュール、及び、
前記第1のガス又は異なる組成の第2のガスを、前記第1の圧力未満であるが1気圧を超える第2の圧力で供給する第2のガス供給モジュール
を含むガス供給システムと、
前記第1のチャンバからガスを除去する排気ラインを備える排気システムと、
前記バルブアセンブリは前記第1のチャンバを前記第2のチャンバから分離し、次に、前記第2のガス供給モジュールは前記第1のチャンバを1気圧未満の圧力から前記第2の圧力まで高め、次に、前記第2のガス供給モジュールは前記第1のチャンバから分離され、次に、前記第1のガス供給モジュールは第1のチャンバを前記第2の圧力から前記第1の圧力まで高めるように、前記ガス供給システム、バルブアセンブリ、真空処理システム及び排気処理システムを操作するように構成されたコントローラと、
を備える高圧処理システム。
(態様7)
前記第1のガス供給モジュールは、前記第1のガスを前記第1のチャンバに供給する前に、前記第1のガスの圧力を高めるように構成されたポンプを備える、態様6に記載のシステム。
(態様8)
前記第2のガス供給モジュールは、前記ガスを前記第1のチャンバに導くために、質量流量コントローラ、液体流量計、又は液体流量コントローラを使用する、態様7に記載のシステム。
(態様9)
前記第1のチャンバ内の第1の圧力センサと、前記第2のチャンバ内の第2の圧力センサとを備える、態様6に記載のシステム。
(態様10)
前記コントローラは、前記排気システムが前記第1のチャンバ内の圧力を下げ、前記真空処理システムが前記第2のチャンバ内の圧力を下げるように構成され、また、前記コントローラは、前記第1の圧力センサ及び前記第2の圧力センサからの測定値を比較し、前記第1のチャンバ内の圧力が前記第2のチャンバ内の圧力よりも高くなるように、前記排気システム及び前記真空処理システムを制御するように構成される、態様9に記載のシステム。
(態様11)
高圧処理システムの操作方法であって、
第1のチャンバと第2のチャンバとを1気圧未満である第1の圧力にすることと、
前記第1のチャンバと第2のチャンバの間の分離バルブが開いている間に、前記第2のチャンバから前記第1のチャンバへ基板を移送することと、
前記分離バルブが閉じられている間に、前記第1のチャンバを前記第1の圧力から第2の圧力に下げ、第2のチャンバを第1の圧力から第3の圧力に下げることと、
第2のガス供給モジュールを用いて第1のチャンバを大気圧より高く10気圧未満である第4の圧力に加圧することと、
第1のガス供給モジュールを用いて第1のチャンバを10気圧超の第5の圧力まで加圧することと、
前記第1のチャンバが前記第5の圧力にある間に、前記基板を処理することと、
第1のチャンバを排気することと、
前記分離バルブを開いて前記第1のチャンバから前記基板を取り出すことと、
を含む操作方法。
(態様12)
前記第1のチャンバを前記第5の圧力に加圧することは、第1のガスを前記第1のチャンバに供給することを含み、前記第1のチャンバを前記第4の圧力に加圧することは、異なる組成の第2のガスを前記第1のチャンバに供給することを含む、態様11に記載の方法。
(態様13)
前記第1のガスは、H 又はNH のうちの少なくとも1つを含む、態様11に記載の方法。
(態様14)
前記第2のガス供給モジュールを用いて前記第1のチャンバを加圧することは、前記第1のガス供給モジュールと前記第1のチャンバとの間の供給ラインにおいて、前記第1のガス供給モジュールを高圧分離バルブで前記第1のチャンバから分離することと、前記第2のガス供給モジュールと前記第1のチャンバとの間の供給ラインにおいて低圧分離バルブを開くことによって、前記第2のガス供給モジュールと前記第1のチャンバとを流体的に連結することとを含む、態様11に記載の方法。
(態様15)
前記第1のガス供給モジュールを用いて前記第1のチャンバを加圧することは、前記低圧分離バルブを用いて前記第2のガス供給モジュールを前記第1のチャンバから分離することと、前記高圧分離バルブを開くことによって前記第1のガス供給モジュールと前記第1のチャンバとを流体的に連結することとを含む、態様14に記載の方法。

Claims (10)

  1. 基板上の層を処理するための高圧処理システムであって、
    第1のチャンバと、
    前記第1のチャンバに前記基板を保持する支持体と、
    前記第1のチャンバに隣接し、エッチング、堆積または1気圧未満の圧力での処理をするように構成された第2のチャンバと、
    前記第2のチャンバからガスを除去するフォアラインと、
    前記第2のチャンバ内の圧力を真空近くまで下げるように構成された真空処理システムと、
    前記第1のチャンバ内の前記圧力を前記第2のチャンバ内の前記圧力から分離するための、前記第1のチャンバと前記第2のチャンバとの間のバルブアセンブリと、
    前記第1のチャンバに一又は複数のガスを導入し、前記ガスが前記第1のチャンバ内にあって、前記第1のチャンバが前記第2のチャンバから分離されている間に、前記第1のチャンバ内の前記圧力を少なくとも10気圧まで高めるように構成されているガス供給システムであって、
    第1のガスを少なくとも10気圧である第1の圧力で供給する第1のガス供給モジュール、及び、
    前記第1のガス又は異なる組成の第2のガスを、前記第1の圧力未満であるが1気圧を超える第2の圧力で供給する第2のガス供給モジュール
    を含むガス供給システムと、
    前記ガス供給システムと前記バルブアセンブリとを操作するように構成されたコントローラと、
    前記第1のチャンバからガスを除去する排気ラインを備える排気システムと、
    前記第1のガス供給モジュールと前記第2のガス供給モジュールとを共に取り囲む共通ハウジングと、
    を備える高圧処理システム。
  2. 前記共通ハウジングからガスを除去するように構成された第2の排気システムを備える、請求項1に記載のシステム。
  3. 前記第2の排気システムは、前記ハウジングから前記フォアラインまでガスを導くように構成される、請求項2に記載のシステム。
  4. 前記第1及び第2のガス供給モジュールを前記第1のチャンバに連結する第1及び第2の供給ラインと、前記第1及び第2の供給ラインから漏れるガスを前記フォアラインまで迂回させるように構成された密封容器筐体とを備える、請求項1に記載のシステム。
  5. 前記共通ハウジングは、前記密封容器筐体から流体的に分離されている、請求項4に記載のシステム。
  6. 基板上の層を処理するための高圧処理システムであって、
    第1のチャンバと、
    前記第1のチャンバに前記基板を保持する支持体と、
    前記第1のチャンバに隣接し、エッチング、堆積または1気圧未満の圧力での処理をするように構成された第2のチャンバと、
    前記第2のチャンバからガスを除去するフォアラインと、
    前記第2のチャンバ内の圧力を真空近くまで下げるように構成された真空処理システムと、
    前記第1のチャンバ内の前記圧力を前記第2のチャンバ内の前記圧力から分離するための、前記第1のチャンバと前記第2のチャンバとの間のバルブアセンブリと、
    前記第1のチャンバに一又は複数のガスを導入し、前記ガスが前記第1のチャンバ内にあって、前記第1のチャンバが前記第2のチャンバから分離されている間に、前記第1のチャンバ内の前記圧力を少なくとも10気圧まで高めるように構成されているガス供給システムであって、
    第1のガスを少なくとも10気圧である第1の圧力で供給する第1のガス供給モジュール、及び、
    前記第1のガス又は異なる組成の第2のガスを、前記第1の圧力未満であるが1気圧を超える第2の圧力で供給する第2のガス供給モジュール
    を含むガス供給システムと、
    前記第1のチャンバからガスを除去する排気ラインを備える排気システムと、
    前記バルブアセンブリは前記第1のチャンバを前記第2のチャンバから分離し、次に、前記第2のガス供給モジュールは前記第1のチャンバを1気圧未満の圧力から前記第2の圧力まで高め、次に、前記第2のガス供給モジュールは前記第1のチャンバから分離され、次に、前記第1のガス供給モジュールは第1のチャンバを前記第2の圧力から前記第1の圧力まで高めるように、前記ガス供給システム、バルブアセンブリ、真空処理システム及び排気処理システムを操作するように構成されたコントローラと、
    を備える高圧処理システム。
  7. 前記第1のガス供給モジュールは、前記第1のガスを前記第1のチャンバに供給する前に、前記第1のガスの圧力を高めるように構成されたポンプを備える、請求項6に記載のシステム。
  8. 前記第2のガス供給モジュールは、前記ガスを前記第1のチャンバに導くために、質量流量コントローラ、液体流量計、又は液体流量コントローラを使用する、請求項7に記載のシステム。
  9. 前記第1のチャンバ内の第1の圧力センサと、前記第2のチャンバ内の第2の圧力センサとを備える、請求項6に記載のシステム。
  10. 前記コントローラは、前記排気システムが前記第1のチャンバ内の圧力を下げ、前記真空処理システムが前記第2のチャンバ内の圧力を下げるように構成され、また、前記コントローラは、前記第1の圧力センサ及び前記第2の圧力センサからの測定値を比較し、前記第1のチャンバ内の圧力が前記第2のチャンバ内の圧力よりも高くなるように、前記排気システム及び前記真空処理システムを制御するように構成される、請求項9に記載のシステム。
JP2020525886A 2017-11-11 2018-11-07 高圧処理チャンバのためのガス供給システム Active JP7112490B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022116801A JP2022165996A (ja) 2017-11-11 2022-07-22 高圧処理チャンバのためのガス供給システム

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762584794P 2017-11-11 2017-11-11
US62/584,794 2017-11-11
PCT/US2018/059643 WO2019094481A1 (en) 2017-11-11 2018-11-07 Gas delivery system for high pressure processing chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022116801A Division JP2022165996A (ja) 2017-11-11 2022-07-22 高圧処理チャンバのためのガス供給システム

Publications (2)

Publication Number Publication Date
JP2021502704A JP2021502704A (ja) 2021-01-28
JP7112490B2 true JP7112490B2 (ja) 2022-08-03

Family

ID=66432396

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020525886A Active JP7112490B2 (ja) 2017-11-11 2018-11-07 高圧処理チャンバのためのガス供給システム
JP2022116801A Pending JP2022165996A (ja) 2017-11-11 2022-07-22 高圧処理チャンバのためのガス供給システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022116801A Pending JP2022165996A (ja) 2017-11-11 2022-07-22 高圧処理チャンバのためのガス供給システム

Country Status (8)

Country Link
US (3) US10720341B2 (ja)
EP (2) EP3707746B1 (ja)
JP (2) JP7112490B2 (ja)
KR (3) KR102585074B1 (ja)
CN (1) CN111357090B (ja)
SG (1) SG11202003355QA (ja)
TW (2) TWI800550B (ja)
WO (1) WO2019094481A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11380564B2 (en) 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20220026713A (ko) 2020-08-26 2022-03-07 주식회사 원익아이피에스 기판처리방법과, 그에 따른 기판처리장치 및 반도체 소자 제조방법
KR20220056750A (ko) * 2020-10-28 2022-05-06 주식회사 원익아이피에스 기판 처리 방법
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
JP7197739B2 (ja) * 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法
US20230060192A1 (en) * 2021-09-02 2023-03-02 Entegris, Inc. Methods and apparatus for processing an electrostatic chuck
KR102396669B1 (ko) * 2021-12-20 2022-05-12 주식회사 에이치피에스피 반도체 챔버의 공정 전과 공정 후 잔류 가스 검출 장치
JP2023173097A (ja) * 2022-05-25 2023-12-07 東京エレクトロン株式会社 基板処理装置及び酸素混入抑制方法
US20240068093A1 (en) * 2022-08-25 2024-02-29 Applied Materials, Inc. System and method for controlling foreline pressure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243374A (ja) 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2005530343A (ja) 2002-06-13 2005-10-06 ビーオーシー・エドワーズ・インコーポレーテッド 基板加工装置及び関連するシステム及び方法
JP2006075690A (ja) 2004-09-08 2006-03-23 Kyoshin Engineering:Kk 高圧アニール装置の反応容器保護方法及び装置
JP2008053258A (ja) 2006-08-22 2008-03-06 Ihi Corp 熱処理装置および熱処理方法とその制御装置
JP2009539231A (ja) 2006-02-10 2009-11-12 プンサン マイクロテック カンパニー リミティッド 高圧ガスアニーリング装置及び方法

Family Cites Families (600)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
EP0516344B1 (en) 1991-05-28 2003-10-01 Trikon Technologies Limited Method to fill a cavity in a substrate
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
US6929784B1 (en) * 1999-03-04 2005-08-16 Surface Technology Systems Plc Chlorotrifuorine gas generator system
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2000060659A1 (en) 1999-04-02 2000-10-12 Silicon Valley Group, Thermal Systems Llc Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) * 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
KR20050019129A (ko) * 2002-06-13 2005-02-28 비오씨 에드워즈 인코포레이티드 기판 처리 장치 및 기판 처리 방법
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
WO2004032189A2 (en) 2002-09-30 2004-04-15 Miasolé Manufacturing apparatus and method for large-scale production of thin-film solar cells
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
US7027722B2 (en) 2002-11-25 2006-04-11 Koyo Thermo Systems Co., Ltd. Electric heater for a semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7086638B2 (en) 2003-05-13 2006-08-08 Applied Materials, Inc. Methods and apparatus for sealing an opening of a processing chamber
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
WO2005064649A2 (en) 2003-12-23 2005-07-14 Schumacher John C Exhaust conditioning system for semiconductor reactor
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
JPWO2006098101A1 (ja) 2005-03-16 2008-08-21 日本電気株式会社 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
ES2317159T3 (es) 2005-06-10 2009-04-16 Obducat Ab Replicacion de modelo con sello intermedio.
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
CN101360849B (zh) 2005-11-18 2013-05-15 莱里斯奥鲁斯集团 一种形成多层结构的方法
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
KR101468606B1 (ko) 2007-05-25 2014-12-04 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
WO2009055750A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
EP2289095B1 (en) 2008-05-02 2019-07-03 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
TWI527930B (zh) 2009-02-04 2016-04-01 應用材料股份有限公司 用於電漿製程的接地回流路徑
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2012518281A (ja) 2009-02-15 2012-08-09 ウッドラフ、ジェイコブ 平衡前駆体から作られる、太陽電池の吸収層
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
CN102439697B (zh) 2009-04-03 2015-08-19 应用材料公司 高压rf-dc溅射及改善此工艺的膜均匀性和阶梯覆盖率的方法
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
CN102473748B (zh) 2009-07-01 2014-08-20 三菱电机株式会社 薄膜太阳能电池及其制造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (ja) 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
KR101995704B1 (ko) 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
WO2011132625A1 (en) 2010-04-23 2011-10-27 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
JP5806827B2 (ja) * 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
WO2012134025A1 (ko) 2011-03-25 2012-10-04 Lee Seo Young 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
KR101459502B1 (ko) 2011-07-13 2014-11-07 어플라이드 머티어리얼스, 인코포레이티드 박막 트랜지스터 디바이스들을 제조하는 방법들
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
WO2013083129A1 (en) 2011-12-08 2013-06-13 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9190320B2 (en) * 2012-01-26 2015-11-17 Applied Materials, Inc. Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JPWO2013129701A1 (ja) 2012-03-02 2015-07-30 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
JP5792390B2 (ja) 2012-07-30 2015-10-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (ja) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
JP6703937B2 (ja) 2013-03-15 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
CN105453227B (zh) 2013-08-21 2018-10-19 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
CN112759278A (zh) 2013-12-22 2021-05-07 应用材料公司 用于紫外线光刻的玻璃陶瓷及其制造方法
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
KR101649356B1 (ko) * 2014-01-20 2016-08-18 주식회사 풍산 반도체 기판 처리장치
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
WO2015143371A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
EP3155650A4 (en) 2014-06-16 2018-03-14 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9613859B2 (en) 2015-01-09 2017-04-04 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
SG10202012631SA (en) 2015-02-06 2021-01-28 Versum Materials Us Llc Compositions and methods using same for carbon doped silicon containing films
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI723993B (zh) 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
KR102542758B1 (ko) 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
KR20180006496A (ko) 2015-06-05 2018-01-17 어플라이드 머티어리얼스, 인코포레이티드 서셉터 포지션 및 회전 장치, 및 사용 방법들
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102358289B1 (ko) 2016-03-11 2022-02-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 복합체 및 트랜지스터
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10460933B2 (en) 2017-03-31 2019-10-29 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
KR20230146121A (ko) 2017-04-21 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
JP7175283B2 (ja) 2017-05-03 2022-11-18 アプライド マテリアルズ インコーポレイテッド 高温セラミックヒータ上の集積化基板温度測定
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
CN108195493A (zh) 2018-01-31 2018-06-22 中国计量大学 一种基于pcf马赫-泽德干涉仪(mzi)的高灵敏度应力传感装置
CN111656510A (zh) 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243374A (ja) 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2005530343A (ja) 2002-06-13 2005-10-06 ビーオーシー・エドワーズ・インコーポレーテッド 基板加工装置及び関連するシステム及び方法
JP2006075690A (ja) 2004-09-08 2006-03-23 Kyoshin Engineering:Kk 高圧アニール装置の反応容器保護方法及び装置
JP2009539231A (ja) 2006-02-10 2009-11-12 プンサン マイクロテック カンパニー リミティッド 高圧ガスアニーリング装置及び方法
JP2008053258A (ja) 2006-08-22 2008-03-06 Ihi Corp 熱処理装置および熱処理方法とその制御装置

Also Published As

Publication number Publication date
CN111357090B (zh) 2024-01-05
KR102396319B1 (ko) 2022-05-09
CN111357090A (zh) 2020-06-30
US11527421B2 (en) 2022-12-13
KR20200088381A (ko) 2020-07-22
US10720341B2 (en) 2020-07-21
SG11202003355QA (en) 2020-05-28
TW201931496A (zh) 2019-08-01
US20190148178A1 (en) 2019-05-16
KR102585074B1 (ko) 2023-10-04
US11756803B2 (en) 2023-09-12
TW202333273A (zh) 2023-08-16
JP2021502704A (ja) 2021-01-28
TWI800550B (zh) 2023-05-01
EP3707746A4 (en) 2021-08-18
JP2022165996A (ja) 2022-11-01
US20230093374A1 (en) 2023-03-23
EP4321649A2 (en) 2024-02-14
KR20220065077A (ko) 2022-05-19
US20200350183A1 (en) 2020-11-05
EP3707746B1 (en) 2023-12-27
KR20230144106A (ko) 2023-10-13
WO2019094481A1 (en) 2019-05-16
EP3707746A1 (en) 2020-09-16

Similar Documents

Publication Publication Date Title
JP7112490B2 (ja) 高圧処理チャンバのためのガス供給システム
EP3652778B1 (en) Gas delivery system for high pressure processing chamber
KR102509015B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들
CN117936420A (en) Gas delivery system for high pressure processing chamber
CN117936417A (en) Gas delivery system for high pressure processing chamber

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200703

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210831

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220722

R150 Certificate of patent or registration of utility model

Ref document number: 7112490

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150