JP6703937B2 - 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法 - Google Patents

基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法 Download PDF

Info

Publication number
JP6703937B2
JP6703937B2 JP2016502480A JP2016502480A JP6703937B2 JP 6703937 B2 JP6703937 B2 JP 6703937B2 JP 2016502480 A JP2016502480 A JP 2016502480A JP 2016502480 A JP2016502480 A JP 2016502480A JP 6703937 B2 JP6703937 B2 JP 6703937B2
Authority
JP
Japan
Prior art keywords
end effector
scara
upper arm
height
electronic device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016502480A
Other languages
English (en)
Other versions
JP2016512398A (ja
JP2016512398A5 (ja
Inventor
ウィリアム ティー. ウィーバー,
ウィリアム ティー. ウィーバー,
ジュニア, マルコム エヌ. ダニエル
ジュニア, マルコム エヌ. ダニエル
ロバート ビー. ヴォパト,
ロバート ビー. ヴォパト,
ジェーソン エム. シャーラー,
ジェーソン エム. シャーラー,
ジェイコブ ニューマン,
ジェイコブ ニューマン,
ディネーシュ カナワデ,
ディネーシュ カナワデ,
アンドリュー ジェー. コンスタント,
アンドリュー ジェー. コンスタント,
スティーヴン シー. ヒッカーソン,
スティーヴン シー. ヒッカーソン,
ジェフリー シー. ハジェンズ,
ジェフリー シー. ハジェンズ,
マーヴィン エル. フリーマン,
マーヴィン エル. フリーマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016512398A publication Critical patent/JP2016512398A/ja
Publication of JP2016512398A5 publication Critical patent/JP2016512398A5/ja
Application granted granted Critical
Publication of JP6703937B2 publication Critical patent/JP6703937B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • B25J9/043Cylindrical coordinate type comprising an articulated arm double selective compliance articulated robot arms [SCARA]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1682Dual arm manipulator; Coordination of several manipulators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/27Arm part
    • Y10S901/28Joint
    • Y10S901/29Wrist
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Description

関連出願
[0001]本出願は、「基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法(SUBSTRATE DEPOSITION SYSTEMS, ROBOT TRANSFER APPARATUS, AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING)」と題された、2013年9月17日出願の米国仮特許出願番号61/879,076(代理人整理番号20666USAL03/FEG/SYNX)、及び、「基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法(SUBSTRATE DEPOSITION SYSTEMS, ROBOT TRANSFER APPARATUS, AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING)」と題された、2013年8月22日出願の米国仮特許出願番号61/868,795(代理人整理番号20666USAL02/FEG/SYNX)、及び、「基板堆積システム、装置、及び電子デバイス製造のための方法(SUBSTRATE DEPOSITION SYSTEMS, APPARATUS AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING)」と題された、2013年3月15日出願の米国仮特許出願番号61/787,117(代理人整理番号20666USA L/FEG/SYNX/CROC)から優先権を主張し、あらゆる目的のためにそれら全てが参照により本書に組み込まれるものとする。
[0002]本発明は、電子デバイス製造に関し、より具体的には、チャンバ間での基板の移動のための装置、システム、及び方法に関する。
[0003]従来型の電子デバイス製造システムは、メインフレーム区域の周囲に配設された複数の処理チャンバ、及び、一又は複数のロードロックチャンバを含みうる。かかる電子デバイス製造システムシステムは、クラスタツールに含まれうる。これらの電子デバイス製造システム及びツールは、移送チャンバ内に収納されてよく、かつ、例えば様々な処理チャンバと一又は複数のロードロックチャンバとの間で基板を搬送するよう適合している、移送ロボットを用いることがある。例えば、移送ロボットは、処理チャンバから処理チャンバへ、ロードロックチャンバから処理チャンバへ及びその逆に、基板を搬送しうる。様々なチャンバ間での基板の迅速かつ正確な搬送は、効率的なシステムスループットを提供し、それによって、全体的な運転費用を低減しうる。かかる既存のシステム及び装置は十分なスループットを含むが、追加スループットの獲得が求められている。
[0004]従って、基板の処理及び移送においてより効率が向上したシステム、装置、及び方法が望まれる。
[0005]一態様では、電子デバイス処理システムが提供される。電子デバイス処理システムは、移送チャンバ、第1ファセット、第1ファセットに対向する第2ファセット、第3ファセット、及び、第3ファセットに対向する第4ファセットを含むメインフレームハウジングと、第1ファセットに結合された第1カルーセルアセンブリと、第3ファセットに結合された第2カルーセルアセンブリと、第2ファセットに結合された第1ロードロックと、第4ファセットに結合された第2ロードロックと、第1カルーセルと第2カルーセルの両方からの基板を交換するために移送チャンバ内で作動するよう適合したロボットとを含む。
[0006]別の態様では、電子デバイス処理システムの中で基板を搬送する方法が提供される。方法は、移送チャンバ、第1ファセット、第1ファセットに対向する第2ファセット、第3ファセット、及び、第3ファセットに対向する第4ファセットを含む、メインフレームハウジングを提供することと、第1ファセットに結合された第1カルーセルアセンブリを提供することと、第2ファセットに結合された第1ロードロックを提供することと、第1カルーセルからの基板を交換するために移送チャンバ内で作動するよう適合したロボットを提供することと、第1基板を第1カルーセルの中に、第2基板を第1ロードロックの中に、同時に又は連続して載置することとを含む。
[0007]別の態様にでは、多軸ロボットが提供される。多軸ロボットは、第1SCARAを含み、第1SCARAは、肩軸の周囲で回転するよう適合した第1上部アームと、第1上部アームの末端部で第1上部アームに回転式に結合された第1前腕部と、第1前腕部の第1外側位置で第1前腕部に回転式に結合された第1リスト部材と、第1リスト部材に結合された第1エンドエフェクタとを含み、第2SCARAを含み、第2SCARAは、肩軸の周囲で回転するよう適合した第2上部アームと、第2上部アームの末端部で第2上部アームに回転式に結合された第2前腕部と、第2前腕部の第2外側位置で第2前腕部に回転式に結合された第2リスト部材と、第2リスト部材に結合された第2エンドエフェクタとを含み、第1SCARAの第1エンドエフェクタは肩軸から第1方向に伸長し、第2SCARAは肩軸から第2方向に伸長し、第2方向は第1方向と逆である。
[0008]別の態様では、電子デバイス処理システムが提供される。電子デバイス処理システムは、移送チャンバを含むメインフレームハウジングと、メインフレームハウジングの第1ファセットに結合された処理チャンバと、第1ファセットから概して対向する位置で移送チャンバの別のファセットに結合されたロードロックと、第1エンドエフェクタを包含する第1SCARAロボット、及び第2エンドエフェクタを備える第2SCARAロボットを含むロボットであって、第1と第2のエンドエフェクタは、処理チャンバとロードロックとの間で基板を交換するために移送チャンバの中で動作するよう適合している、ロボットとを含み、第1エンドエフェクタと第2エンドエフェクタは、実質的に共平行な作用線に沿って伸長し、退縮するよう作動可能である。
[0009]数多くの他の態様が、本発明の上記の実施形態及び他の実施形態に従って提供される。本発明の実施形態の他の特徴及び態様は、以下の詳細な説明、付随する特許請求の範囲、及び添付の図面から、より詳細に明らかになるであろう。
[0010]実施形態による、多軸ロボット装置を含む電子デバイス処理システムの、部分的に断面が示された概略上面図(チャンバの上部が除去された状態)を示す。 [0011]実施形態による、図1Aの断面線1B−1Bに沿った、バッチロードロック装置の側面断面図を示す。 [0012]単独で図示された、実施形態による多軸ロボット装置の上部平面図を示す。 [0013]実施形態による多軸ロボット装置の側面断面図を示す。 [0014]エンドエフェクタが除去された状態の、実施形態による多軸ロボット装置の斜視図を示す。 [0015]駆動構成要素を明示するためにいくつかのアームが除去され、かつエンドエフェクタが除去された状態の、実施形態による図2Cの多軸ロボット装置の斜視図を示す。 [0016]エンドエフェクタが完全に退縮し(ブレードがリストの上方にある構成で示す)、回転動作の準備が整った状態の、実施形態による多軸ロボット装置の斜視図を示す。 [0017]実質的に共平行な作用線のそれぞれに沿ってエンドエフェクタが伸長した状態の、実施形態による多軸ロボット装置の斜視図を示す。 [0018]実施形態による、実質的に共平行な作用線に沿ってエンドエフェクタが退縮した状態の、メインフレームハウジング内に設置された多軸ロボット装置の斜視図を示す。 [0019]実施形態による電子デバイス処理システムの中で基板を搬送する方法を示すフロー図である。 [0020]実施形態による電子デバイス処理システムの中で基板を搬送する別の方法を示すフロー図である。 [0021]実施形態による、電子デバイス処理システムのエンドエフェクタが回転動作(取り換え動作)を実行する時に、エンドエフェクタによって遂行されうる一移送経路を示す。 [0022]図6A及び図6Bは、実施形態による、基板が上にある状態とない状態の、完全退縮構成のエンドエフェクタを示す、電子デバイス処理システムの上面図である。 [0023]図7A及び図7Bは、実施形態による、基板が上にある状態とない状態の、完全退縮構成のエンドエフェクタを示す、電子デバイス処理システムの別の実施形態の上面図である。 [0024]実施形態による製造システムの幅方向設置面積が低減されることを示す、複数の横に並べて配向された電子デバイス処理システムを含む製造システムの上面概略図である。 [0025]図9A及び図9Bは、実施形態による、ストレートダイヤモンドプラットフォーム構成(図9A)、及び、代替的な回転ダイヤモンドプラットフォーム構成(図9B)の電子デバイス処理システムの上面概略図を示す。
[0026]電子デバイス製造は、様々な場所間での基板の非常に正確かつ迅速な搬送を必要とすることがある。特に、いくつかの実施形態では、エンドエフェクタ(時に「ブレード」と称される)は、ロボットのアームに取り付けられ、かつ、電子デバイス処理システムのチャンバへと、及びチャンバから、エンドエフェクタ上に乗っている基板を搬送するよう適合しうる。かかる電子デバイス製造システムは、かかるエンドエフェクタを含む移送チャンバ内に配設された多軸ロボットを含みうる。このことにより、第1基板が、チャンバから取り出され、次いで、同じチャンバにおいて第2ウエハと置換されることが可能になる。この移送を可能な限り迅速に達成することが目標である。しかし、既存の多軸ロボットは、相当程度の他のロボット動作がなくては、移送を行えないことがある。これらの追加的動作は、実現可能な移送速度を全体的に増大させうる。更に、既存のロボットは、既存のロボットがかかるチャンバにアクセス可能な様態に限定されうる。また更に、ロボットを含むいくつかの従来技術システムでは、位置ずれ調整性能が限定されうる。
[0027]また更に、水平多関節(selective compliance assembly robot arm:SCARA)ロボットの構造は簡潔であることから、可能であればSCARAロボットを使用することが望ましい。
[0028]従って、一又は複数の実施形態では、電子デバイス製造において、処理チャンバ(例えばカルーセル)及びロードロックへと、かつそれらから、基板を搬送するために使用されうる、多軸ロボット装置が提供される。
[0029]本発明の一又は複数の実施形態により、改良型の多軸ロボット装置が提供される。本発明の多軸ロボット装置は、カルーセルの処理チャンバ及びロードロックの搬入と搬出とが実質的に同時に実現されうるように、対向する2つの方向に、実質的に共平行な作用線のそれぞれに沿って作動可能なデュアルSCARAを含む。一又は複数の追加的実施形態では、SCARAロボットの各々は、作用線に沿って伸長し、退縮するよう、個別に制御されうる。この様態では、カルーセルのローディングチャンバにおいて、及び/又はロードロックにおいて、基板の位置ずれ補正が個別に遂行されうる。このことにより、ロードロックとそれに対向するカルーセルのローディング処理チャンバとの間で、基板(例えばシリコンウエハ)を迅速に取り換える性能が提供される。特に、一又は複数の実施形態による、実質的に共平行な作用線に沿った個別制御は、各エンドエフェクタについての種々の径方向伸長距離のための能力、及び/又は、(ロボットの回転動作なしで)連続してチャンバに進入しチャンバから退縮する能力を提供しうる。更に、多軸ロボット装置は、下記の説明から明らかになるように、第1カルーセルと第1ロードロックとの第1の対向する対、及び、第2カルーセルと第2ロードロックとの第2の対向する対に対処するよう、作動可能でありうる。従って、多軸ロボット装置は、一方のカルーセルで処理が行われている間に他方で搬出/再搬入が行われてよく、かつその逆も同様であることから、従来のカルーセルシステム構成よりもより余すところなく活用される。
[0030]本発明の一又は複数の実施形態により、多軸ロボット装置を含む電子デバイス処理システムが提供される。本発明の一又は複数の追加的実施形態により、電子デバイス処理システムを用いて基板を移送する方法が提供される。多軸ロボット装置は、例えばマルチステーションカルーセルと多位置ロードロックとの間での、基板の移送に適合している。マルチステーションカルーセル及び多位置ロードロックは、有用性の向上を提供しうるダイヤモンドプラットフォーム構成に配設されうる。例えば、メインフレームハウジング、カルーセル、カルーセルのチャンバ、及びロードロックの良好な有用性が提供されうる。更に、ダイヤモンドプラットフォーム構成により、床設置面積の低減が提供されうる。
[0031]本発明の例示的実施形態の更なる詳細が、本書の図1Aから図9Bを参照して説明される。
[0032]図1Aは、本発明の実施形態による、電子デバイス処理システム100の例示的実施形態の概略図である。電子デバイス処理システム100は、移送チャンバ102を画定する壁を有するメインフレームハウジング101を含みうる。本発明の別の実施形態による多軸ロボット装置103は、移送チャンバ102の中に少なくとも部分的に収容されうる。多軸ロボット装置103は、多軸ロボット装置103の作動を介して、目的場所に基板(例えば第1基板105及び第2基板106)を載置するか、又は目的場所から基板を取り出すよう構成され、適合しうるが、そのことについては以下で十分に説明する。
[0033]基板105、106の目的場所は、少なくとも第1カルーセル108及び第1ロードロック112でありうるが、第2カルーセル110及び第2ロードロック114も含みうる。カルーセル108、110は各々、カルーセルチャンバ108C、110C、及び、上部に複数の基板載置場所(例えば3つ以上、4つ以上、5つ以上、又は6つ以上にも達する)を有する回転カルーセルプラットフォーム108P、110Pを有しうる。カルーセル108、110は、入口108E、110Eを介して移送チャンバ102に結合されている、一又は複数の処理チャンバを含む。カルーセルチャンバ108C、110Cの中の処理チャンバは、カルーセル108、110内に載置された基板に、原子層堆積(ALD)などのような任意の数のプロセスを遂行するよう適合しうる。その中では他のプロセスが遂行されることもある。プロセスは、基板がカルーセルプラットフォーム108P、110Pのステーション上で回転する際に遂行される。
[0034]ロードロック112、114は、ファクトリインターフェース116のロードポートにドッキングされた基板キャリア118から一又は複数の基板を受容しうるファクトリインターフェース116と連動するよう適合しうる。基板はファクトリインターフェース116内の搬入/搬出ロボット120(点線で示す)によって移送されてよく、移送は、任意の順序または方向で行われうる。ファクトリインターフェース116内の搬入/搬出ロボット120は、全く従来型のものでありうる。本書で使用する場合、「基板」とは、シリカ含有ウエハ、ガラスディスク、マスクなどのような、電子デバイス又は回路部品を作るために使用される物品を意味することとする。
[0035]図1Aの電子デバイス処理システム100は、互いから概して対向して図示されている、2つのカルーセル(例えば108、110)と2つのロードロック(112、114)とを含む。カルーセル108、110は、基板が処理を経る際に上部に基板を支持しうるプラットフォーム108P、110P上に、複数のステーションを含みうる。ロードロック112、114は、上部に基板を支持しうる複数の基板支持体を含みうるが、それについては本書で後述する。
[0036]この実施形態では、図示するように、ロードロック112、114のファセット102Bと102Dのそれぞれが、ファクトリインターフェース116のインターフェース壁119に対してある角度122に配向される。角度122は、(例えば、ファクトリインターフェース116のインターフェース壁119に対して)およそ45度でありうる。このいわゆる「ダイヤモンドプラットフォーム構成」により、実質的に共平行な作用線144A、144Bに沿った、第1カルーセル108と第1ロードロック112における基板の同時交換が可能になりうる。加えて、このダイヤモンドプラットフォーム構成により、実質的に共平行な作用線145A、145Bのそれぞれに沿った、第2カルーセル110と第2ロードロック114における同時交換も可能になりうる。以下で明らかになるように、他の実施形態では、第1のカルーセル108とロードロック112(及び、第2のカルーセル110とロードロック114)における交換は連続的で(すなわち順次で)あってよく、それによって、位置ずれ補正が可能になる。例えば約30度と60度との間のような、他の角度122も使用されうる。当然明らかであるように、ダイヤモンドプラットフォーム構成により、多軸ロボット103が、第1カルーセル108と第1ロードロック112、及び、第2カルーセル110と第2ロードロック114の各々に対処することが可能になる。
[0037]いくつかの実施形態では、移送チャンバ102は、例えば真空下で作動しうる。カルーセル108、110及びロードロック112、114の各々は、それらの入口部/出口部にスリットバルブを含んでよく、スリットバルブは、基板をチャンバに載置する、又は基板をチャンバから取り出す時に開閉するよう適合しうる。スリットバルブは、Lモーションスリットバルブのような、任意の適切な従来型構造のものでありうる。いくつかの実施形態では、ロードロック112、114のそれぞれへの入口におけるスリットバルブを2倍の高さにすることで、ロボット103の垂直高さを変えるまでもなく、SCARA103AのエンドエフェクとSCARA103Bのエンドエフェクタの高さが違ってもロードロック112、114に容易にアクセスすることができる
[0038]多軸ロボット装置103の様々な構成要素の動作は、コントローラ125から多軸ロボット装置103の複数の駆動モータを包含する駆動アセンブリ(図示せず)への、適切なコマンドによって制御されうる。コントローラ125は、プロセッサ、メモリ、及び、信号を処理し、駆動モータに送信するよう適合した適切な電子部品を有する、任意の適切な電子コントローラでありうる。コントローラ125からの信号は、以下で明らかになるように、多軸ロボット装置103の様々な構成要素の動作を引き起こしうる。位置エンコーダなどのような様々なセンサによって、各構成要素に適切なフィードバックが提供されうる。
[0039]ダイヤモンドプラットフォーム構成は、例えば、米国特許番号5,789,878、5,879,127、6,267,549、6,379,095、6,582,175及び6,722,834、並びに、米国特許公開番号2010/0178147、2013/0039726、2013/0149076、2013/0115028及び2010/0178146に記載されたロボットのような、色々な種類の多軸ロボットに適応しうる。図示されているロボット103の代わりに、他の適切な種類のロボットも使用されうる。
[0040]本書で説明されている特定の一実施形態では、多軸ロボット装置103は、図2Bに示すように、3つのモータを含みうる。第1モータ265は、図2Bに示すように、肩軸127の周囲で多軸ロボット装置103の第1シャフト103S1を回転させるために使用されうる。この回転により、第1SCARAロボット103Aのリスト部材132が、作用線144Aに沿って伸長又は退縮する。
[0041]第1モータ265の上方に位置付けられうる第2モータ270は、多軸ロボット装置103の第2シャフト103S2を回転させるために使用されうる。この回転により、第2SCARAロボット103Bのリスト部材140が、作用線144Aと実質的に共平行でありうる第2作用線144Bに沿って伸長又は退縮する。
[0042]第1と第2のモータ265、270の間に位置付けられうる第3モータ275は、多軸ロボット装置103の第3シャフト103S3を回転させるために使用されうる。この回転により、この実施形態では1つに結合されているプーリ276、278が回転して、方向矢印121(図1A)で示すような、肩軸127の周囲でのSCARAロボット103A及び103Bの一体的な回転が引き起こされる。この回転動作は、カルーセル108、110と、対応する径方向に対向したロードロック112、114のそれぞれの間での基板の取り換えを実現するために使用されうる。第1と第2のシャフト103S1、103S2の回転は、実質的に共平行な作用線144A、144B(点線で示す)に沿ってSCARA103A、103Bの各々を伸長させ、退縮させるために使用されうる。この伸長及び退縮の最中に、第3モータ275とシャフト103S3は静止したままである。
[0043]一実施形態では、第1と第2のモータ265、270は、作用線144A、144B、145A、145Bに沿った第1SCARA103A及び第2SCARAロボット103Bの伸長と退縮とを制御するために、個別に制御され、かつ作動しうる。ゆえに、伸長及び退縮の量と方向は、作用線144A、144B、145A、145Bのそれぞれに沿って個別に制御されうる。
[0044]別の実施形態では、第1と第2のモータ265、270は、第1と第2のSCARAロボット103A、103Bの同時伸長及び同時退縮を引き起こすよう制御されうる。ゆえに、第1と第2のSCARAロボット103A、103Bのエンドエフェクタ134、142(図2A)は、径方向に対向したチャンバ内へと個別に伸長し、退縮しうるか、又は、径方向に対向したチャンバ内へと同時に伸長しうるかのいずれかでありうる
[0045]図2Aから図2Fに示すように、多軸ロボット装置103は、第1SCARAロボット103A及び第2SCARAロボット103Bを含む。第1SCARAロボット103Aは、肩軸127の周囲で回転可能な第1上部アーム124を含む。多軸ロボット装置103は、メインフレームハウジング101の壁(例えば床)に取り付けられるよう適合している、ベース128を含みうる。しかし、多軸ロボット装置103は、いくつかの実施形態では、メインフレームハウジング101の天井部に取り付けられうる。従って、多軸ロボット装置103は、メインフレームハウジング101によって少なくとも部分的に支持されうる。
[0046]多軸ロボット装置103は、移送チャンバ102の外側に配置されてよく、かつ、上部アーム124と、本書で説明されることになる様々な他のアーム及び構成要素とを駆動するよう構成され、適合しうる、駆動アセンブリ222(図2B)も含みうる。再び図2Aを参照するに、上部アーム124は、時計回り又は反時計回りのいずれかの回転方向に、肩軸127の周囲で回転するよう適合しうる。回転は、駆動アセンブリ222(図2Bを参照のこと)内に配置された従来型の可変リラクタンス又は永久磁石電気モータなどの、任意の適切な駆動モータによって提供されうる。上部アーム124の回転は、コントローラ125から駆動モータへの適切なコマンドによって制御されうる。上部アーム124は、肩軸127の周囲でベース128に対して、X−Y平面において回転するよう適合している。
[0047]図2A及び図2Bに示された実施形態では、ロボット装置103は、第1SCARA103A内に、軸127から離間した上部アーム124の径方向の末端部で上部アーム124に回転式に結合されうる、第1前腕部130を含む。図示された実施形態では、第1前腕部130は、末端位置で上部アーム124の第1末端部に装着され、かつ、第2回転軸127Aの周囲で回転可能である。第1前腕部130の回転は、第1上部アーム124に対して+/−約150度でありうる。第1前腕部130の回転は、第1上部アーム124の回転が第1前腕部130の対応する運動学的回転を引き起こすように、駆動構成要素(例えば図2B及び図2Dに示すようなプーリとベルト)を通じて運動学的に関連付けられうる。
[0048]また更に、第1リスト部材132は、第1前腕部130上の第1外側位置に結合されてよく、かつ、第1リスト軸の周囲で第1前腕部130に対して回転可能である。第1リスト軸は、第2回転軸127Aからある距離だけ離間しうる。第1リスト部材132は、それに結合された第1エンドエフェクタ134を有しうる。第1エンドエフェクタ134は、基板処理システム100の中で処理されるべき基板105を運搬するよう構成され、適合している。第1前腕部130に対する第1リスト部材132、ひいてはそれに結合された第1エンドエフェクタ134の回転は、+/−約150度でありうる。第1上部アーム124、第1前腕部130、第1リスト部材132、及び第1エンドエフェクタ134、並びに、駆動アセンブリ222に含まれた対応する駆動モータと駆動シャフトが、第1SCARAロボット103Aを構成する。第3モータ275が静止したままである時に、エンドエフェクタ134が作用線144Aに沿って純粋に直動するように、第1上部アーム124、第1前腕部130、及び第1リスト部材132の回転は、駆動構成要素(例えばベルト及びプーリ)を通じて運動学的に関連付けられてよく、それにより、第1上部アーム124の回転が第1前腕部130の対応する回転を引き起こし、第1前腕部130の回転が第1リスト部材132の対応する回転を引き起こす。
[0049]「SCARA」は、本書では、水平多関節ロボットアセンブリと定義されており、第1上部アーム124の回転が第1前腕部130の対応する回転を引き起こし、かつ、第1リスト部材132が、作用線144Aに沿って、すなわち肩軸127と位置合わせされた径方向の線に沿って、エンドエフェクタ134を純粋に直動させるように、アーム(例えば第1上部アーム124、第1前腕部130及び第1リスト部材132)が運動学的に関連付けられている、ロボットを表す。
[0050]エンドエフェクタ134は、図5に示すように、取り換えを実現するために、回転動作を経る時にはこの作用線144Aから逸脱しうる。作用線144Aからのこの逸脱は、本書で説明するように、基板(例えば105)の中心線が、カルーセル108の入口108Eを出るやいなや第3モータ275が動作することによって、並びに、図2E、図2G、及び図6Aから図7Bに示す、エンドエフェクタがリストの上方にある構成によって、引き起こされうる。
[0051]再び図2Aから図2Fを参照するに、ロボット装置103は、第2SCARAロボット103B上に、肩軸127の周囲で回転可能な第2上部アーム136と、肩軸127から離間した上部アーム136の径方向の末端部で第2上部アーム136に結合されうる、第2前腕部138とを含む。図示された実施形態では、第2前腕部138は、末端位置で第2上部アーム136の第1末端部に装着され、かつ、第2回転軸127Bの周囲で回転可能である。第2前腕部138の回転は、第2上部アーム136に対して+/−約150度でありうる。
[0052]また更に、第2リスト部材140は、第2前腕部138上の第1外側位置に結合されてよく、かつ、第2リスト軸の周囲で第2前腕部138に対して回転可能である。第2リスト軸は、第2回転軸127Bからある距離だけ離間しうる。第2リスト部材140は、それに結合された第2エンドエフェクタ142を有しうる。
[0053]第2エンドエフェクタ142は、基板処理システム100の中で処理されるべき基板106を運搬するよう構成され、適合している。第2前腕部138に対する第2エンドエフェクタ142の回転は、+/−約150度でありうる。第2上部アーム136、第2前腕部138、第2リスト部材140、及び第2エンドエフェクタ142、並びに、駆動アセンブリ222に含まれた対応する駆動モータと駆動シャフトが、第2SCARAロボット103Bを構成する。
[0054]第1上部アーム136の回転が第2前腕部138の対応する回転を引き起こし、第2前腕部138の回転が第2リスト部材140の対応する回転を引き起こすように、第2上部アーム136、第2前腕部138、及び第2リスト部材140は、駆動構成要素(例えば図2B及び図2Dに示すようなベルト及びプーリ)を通じて運動学的に関連付けられうる。
[0055]第1と第2のSCARAロボット103A、103Bの各々は、一実施形態では移送チャンバ102の外側に装着されうる、駆動アセンブリ222によって駆動されうる。この実施形態では、図示するように、時計回り方向の第1駆動モータ265の回転が、作用線144Aに沿って、肩軸127に向かってエンドエフェクタ134を退縮させる。時計回り方向の第2駆動モータ270の回転は、作用線144Bに沿ってエンドエフェクタ142を退縮させる。伸長は、反時計回り回転によって実現されうる。図1Aに示すようなカルーセル108とロードロック112との間での取り換えを実行するために位置付けられた時、伸長及び退縮は、実質的に共平行な作用線144A、144Bに沿ったものでありうる。駆動構成要素(図2B及び図2Dに示すようなベルト、プーリ)、及び、上部アームと前腕部の長さは、作用線144A、144Bのそれぞれに沿った線形の伸長退縮動作を確保するよう選択される。駆動アセンブリ222内の第1と第2の駆動モータ265、270は、駆動構成要素に結合し、かつ、エンドエフェクタ134、142を同時に若しくは連続して直動させるよう適合しうる。連続動作において、ロボット103A、103Bのいずれか一方が、回転動作の後又は前に、他方とは無関係に、先に伸長されるか、又は退縮されうる。
[0056]適切な従来型の回転式エンコーダ(図示せず)が、カルーセル108、110及びロードロック112、114に対してSCARAロボット103A、103Bを所望通りに位置付けるために使用されうる。
[0057]加えて、図2Bに示すように、いくつかの実施形態では、駆動アセンブリ222はZ軸動作性能を含みうる。特に、駆動アセンブリ222のモータハウジング267は、動作制限装置269によって、外側筐体268に対する回転が抑制されうる。動作制限装置269は、2つ以上の線形軸受又は他の軸受、或いは、外側筐体268に対するモータハウジング267の回転を制約するよう機能する摺動機構でありうるが、モータハウジング267の(回転肩軸127の方向に沿った)Z軸動作は可能にする。
[0058]垂直動作は、適切な垂直モータ271によって提供されうる。垂直モータ271の回転は、モータハウジング267に結合されているか、又はそれと一体化した受け器内の主ねじを回転させるよう作動しうる。このことは、モータハウジング267、ひいてはエンドエフェクタ134、142、そしてひいては基板105、106を、垂直に直動させる。適切な可撓性密封272が、モータハウジング267とベース128との間を密封してよく、それによって、垂直動作に適応し、かつ、移送チャンバ102の中の真空を保持する。金属ベローズ又は他の類似の可撓性密封が、密封のために使用されうる。
[0059]一実施形態では、第1と第2のSCARAロボット130A、103Bは、伸長及び退縮において個別に駆動されうる。この「個別駆動」の実施形態では、第1と第2のSCARAロボット103A、103Bの各々は、互いとは無関係に伸長され、退縮されうる。ゆえに、第2SCARAロボット103Bが伸長しつつある時に第1SCARAロボット103Aは退縮されてよく、又はその逆も同様である。また更に、別の動作シーケンスにおいて、第1と第2のSCARAロボット103A、103Bは、一緒に伸長されるか、又は一緒に退縮されうるが、作用線144A、144Bのそれぞれに沿った移動量は異なる。他の実施形態では、第1と第2のSCARAロボット103A、103Bは、作用線144A、144Bのそれぞれに沿って同時に、同じ移動量だけ、伸長され、退縮されうる。
[0060]上述のように、エンドエフェクタ134、142を別の目的場所へと回転させるために実行されるいくつかの回転動作においては、エンドエフェクタ134、142、及びその上に支持された基板105、106が、図5に示すように、線形作用線144A,144Bから逸脱しうる。
[0061]特に、図5に示すように、各基板105、106の過半が移送チャンバ102の中にある状態になると、ロボット103A、103Bのエンドエフェクタ134、142は非直線、つまり弧状経路144Cを辿りうる。ゆえに、エンドエフェクタ134、142、及び支持された基板105、106は、伸長する、及び、チャンバ(例えば、概して対向するチャンバ)から退縮する時に、作用線144A,144Bに沿った純粋な直動を経て、次いで、基板105、106のそれぞれの体積の過半が移送チャンバ102の容積の中にある時には、弧状経路144Cを辿りうる。弧状経路144Cは、タンジェンシャルに結合されうる3つの円弧セグメント(例えば、凸状、凹状及び凸状)を含みうる。弧状経路144Cのセグメントは、実質的に一定した速度で遂行されうる。このことは、除去されるべき最後の基板の半分が入口108E、160のそれぞれから出て行くやいなや回転動作を開始すること、及び、図2Eに示すような、エンドエフェクタ134がリスト部材140の上方にある構成において基板105、106を提供することによって引き起こされる。
[0062]個別に伸長し、退縮する能力により、基板105、106の位置ずれを、チャンバ(処理チャンバ又はロードロックチャンバ)内に載置された時に補正するための、追加的な性能が提供される。この実施形態は、カルーセル108及びロードロック112に関連して説明されているものの、任意の2つの径方向に位置合わせされたチャンバの一方又は両方へのアクセス、及び/又は、それらのチャンバ内での位置ずれ補正のために使用されうる。
[0063]例えば、本書の図1A及び図4を参照するに、基板(例えば基板105、106)を交換する方法が提供される。方法400は、402において、第1と第2のSCARAロボット(例えばSCARAロボット103A、103B)を備えるロボット装置(例えばロボット装置103)を含む移送チャンバ(例えば移送チャンバ102)、及び、第1と第2の径方向に位置合わせされたチャンバ(例えばチャンバ146、148)を提供することを含む。チャンバ146、148は、移送チャンバ102を横切って径方向に位置合わせされ、すなわち、移送チャンバの対向する両側にありうる。ロボット装置103は、径方向に位置合わせされたチャンバ(例えばチャンバ146、148)の間で一又は複数の基板交換(例えば取り換え)シーケンスを遂行するために、コントローラ125からの信号を介して制御されうる。径方向に位置合わせされたチャンバは、例えば処理チャンバ及びロードロックチャンバでありうる。
[0064]404において、方法400により、第1シーケンスでは、第1SCARAロボット103Aが、カルーセル108内の径方向に位置合わせされた第1チャンバ146内へと伸長し、かつ、第2SCARAロボット103Bが、ロードロック112内の径方向に位置合わせされた第2チャンバ148内へと伸長して、基板105、106を取り上げうる。伸長は、同時であるか、又は、個別、すなわち連続的で(任意の順序で)ありうる回転動作はまだ実行されない。
[0065]406において、第1SCARAロボット103A及び第2SCARAロボット103Bは、同時に退縮するか、又は、連続して(任意の順序で)退縮してよく、次いで回転する。基板106がこの時、カルーセル108のチャンバ146の搬入/搬出ステーションへの入口108Eと径方向に位置合わせされ、かつ、基板105がこの時、ロードロック112のチャンバ148への入口160と径方向に位置合わせされるように、回転動作は、時計回り又は反時計回りのいずれかで180度の、方向矢印121の周囲での回転を含みうる。回転(例えばロボット103の回転動作)は、第3モータ(例えばモータ275)の回転を介して実現されうる。回転動作の最中に、エンドエフェクタ134、142は、区域144Cに沿った経路147A、147Bを辿りうる。
[0066]408において、基板105、106のうちの一方が、径方向に位置合わせされたチャンバ146、148のそれぞれのうちの1つの中に載置され、位置ずれ補正を経うる間に、他方は、移送チャンバ102内に位置付けられたままでありうる。チャンバ146、148のそれぞれの中における基板105、106の位置ずれの決定は、各チャンバ146、148の入口(例えば108E,160)のそれぞれに基板105、106が入る際に、任意的な位置センサを用いてそれらの位置を感知することなどによる、任意の既知の位置ずれ決定方式を通じて行われうる。コントローラ125が位置ずれの量及び方向を決定すると、次いで、適切な位置調整を行うことによって位置ずれが補正されうる。調整は、方向矢印121の方向への回転による、横方向への(例えば方向144Lに沿った)もの、及び/又は、(作用線144Aに沿った更なる伸長又は退縮による)径方向のものでありうる。同様の横方向及び径方向の位置ずれ補正が、ロードロック112内でも行われうる。
[0067]一実施形態では、ロードロック112への基板105の載置及び(必要であれば)位置ずれ補正が先に行われてよく、第1SCARAロボット103Aは、作用線144Bに沿ってロードロック112から退縮してよく、次に、エンドエフェクタ142をチャンバ146内に挿入して、横方向144Lに横方向の位置ずれを補正するための方向矢印121の周囲でのロボット装置103の若干の回転、及び/又は、作用線144Aに沿って位置ずれを補正するための第2SCARAロボット103Bの若干の伸長又は退縮を、必要に応じて引き起こすことによって、カルーセル108のチャンバ146の中で位置ずれ補正が行われうる。
[0068]他の実施形態では、位置ずれは後から、同様の位置ずれ補正シーケンスを使用して、ロードロック112内に載置される時に補正されうる。いくつかの実施形態では、位置ずれは、カルーセル108とロードロック112の両方において補正されうる。カルーセル108及びロードロック112の中での位置ずれ補正は、任意の順序で行われうる。同様の交換及び位置ずれ補正が、カルーセル110のチャンバ150及び/又はロードロック114のチャンバ152において行われうる。ロードロック114と112の構造及び機能は、実質的に同一でありうる。同様に、カルーセル108と110の構造及び機能は、実質的に同一でありうる。他の構成も使用されうる。
[0069]別の実施形態では、電子デバイス処理システム(例えば100)の中で基板(例えば105、106)を搬送する方法300が図3で提供される。方法300は、302において、移送チャンバ102、第1ファセット102A、第1ファセット102Aに対向する第2ファセット102B、第3ファセット102C、及び、第3ファセット102Cに対向する第4ファセット102Dを含む、メインフレームハウジング101を提供することを含む。方法300は、304において、第1ファセット(例えば第1ファセット102A)に結合された第1カルーセルアセンブリ(例えばカルーセル108)を提供することと、306において、第2ファセット(例えば第2ファセット102B)に結合された第1ロードロック(例えば第1ロードロック112)を提供することとを更に含む。
[0070]方法300は、308において、第1カルーセル(例えば第1カルーセル108)からの基板(例えば基板105、106)を交換するために、移送チャンバ(例えば移送チャンバ102)内で作動するよう適合したロボット(例えばロボット103)を提供することと、310において、第1基板(例えば基板105)を第1カルーセル(例えば第1カルーセル108)内に、第2基板(例えば基板106)を第1ロードロック(例えばロードロック112)内に、同時に又は連続して載置することとを更に含む。
[0071]別の態様では、第2カルーセル110は第3ファセット(例えば第3ファセット102C)に結合され、第2ロードロック114(例えば第2ロードロック114)は第4ファセット(例えば第4ファセット102D)に結合され、かつ、ロボット103は、第3基板を第2カルーセル110内に、第4基板を第2ロードロック114内に、同時に又は連続して載置するよう作動可能である。ロボット103は、例えば第2カルーセル110と第2ロードロック114との間で、基板を交換(例えば取り換え)しうる。
[0072]一又は複数の実施形態では、ロボット103は、作用線144A(図2Aから図2C)に沿って肩軸127から第1方向に伸長するよう作動する第1SCARAと、肩軸127から第2作用線144Bに沿って第2方向に伸長するよう作動する第2SCARA103とを備え、作用線144Bに沿った第2方向は、作用線144Aに沿った第1方向と逆である。
[0073]別の実施形態では、第1SCARA103Aは、作用線144A(図2A)に沿って肩軸127から第1方向に伸長するよう作動可能であり、第2SCARA103Bは、作用線144Bに沿って肩軸127から第2方向に伸長するよう作動可能であるが、伸長は、非同時的であってよく、すなわち連続的でありうる。伸長は、(位置ずれ補正を除いては)回転動作を行うことなく、第1SCARAロボット103を先に伸長し、次いで第2SCARAロボット103Bを二番目に伸長させるか、又はその逆になるように、作用線144A、144Bに沿って任意の順序で行われうる。
[0074]一又は複数の実施形態では、図1Bに示すように、ロードロック112は、バッチロードロック装置であり、かつ、複数の基板(例えば基板106であり、いくつかが標示されている)が内部に載置されうる複数の支持体149(スロット又は棚状部のようなもので、いくつかが標示されている)を含みうる。任意の適切な支持構造が使用されうる。支持体149の数は、カルーセル108内の処理位置の数に等しいか、又はそれよりも多くなりうる例えば、カルーセル108が(図示するように)6つの処理位置を有する場合、カルーセル108全体がロードロック112の開口を通じて一度だけで搬出され、再搬入されうるように、ロードロック112は、基板106を受容するよう適合した、6つ又はそれよりも多数のスロットを有するべきである。いくつかの実施形態では、ダミーウエハ、校正ウエハなどのような予備基板106Dを収納するために、一又は複数の余剰スロットが提供されうる。最上部又は底部の最端支持位置が、予備基板106Dのために使用されうる。
[0075]図示された実施形態では、ロードロック112は、支持体149を含む可動リフト体158に結合された駆動構成要素157を備えるリフトモータ156を有する、リフトアセンブリ155を含みうる。リフトアセンブリ155は、可動リフト体158を、垂直方向159に沿って上下に動かすよう作動可能でありうる。ロードロックチャンバ148の中でのリフト動作は、特定の基板106をロードロックの入口160と位置合わせするよう作動可能でありうる。ロードロックの入口160は、いくつかの実施形態では、2つの別々の高さにエンドエフェクタ134、142を有しうるロボット103に適応するために、ダブル幅の入口でありうる。
[0076]任意には、ダブル幅の入口160は、垂直に積み重なった単一の入口2つを備えうる。単一のスリットバルブドアがダブル幅の入口160を覆いうる。ダブル幅の入口の使用により、ロードロック112における垂直ロボット動作がなくなる。ロードロック114もダブル幅の入口を含みうる。同様に、ロードロック114は、上述のようなバッチモードでのカルーセル110の搬出を可能にする、多位置ロードロック構造を含みうる。
[0077]いくつかの実施形態では、多位置ロードロック112、114は、処理チャンバのそれぞれにおいて(例えばカルーセル108において)発生する約100℃又はそれを下回る処理温度の範囲内に、基板(例えば基板106)を加熱するよう適合したアクティブ加熱装置を含みうる。例えば、基板106は、ロボット103によってカルーセル108内に搬入される前に、いくつかの実施形態では300℃又はそれを上回る温度に加熱され、350℃又はそれを上回る温度に、又は、約400℃又はそれを上回る温度にまでも、加熱されうる。
[0078]図6A及び図6Bは、第1チャンバ(例えば処理チャンバ646又は650)と第2チャンバ(例えばロードロックチャンバ648又は652)との間で基板を取り換えるために回転動作を実行するよう構成された第1ロボット603を備える、電子デバイス処理システム600の上面図を例示している。エンドエフェクタのそれぞれは、図示するように、少なくとも部分的に又は完全に、他方のSCARAロボットのリスト部材の上側を覆っている(上方にある)か、又はその下側を覆っている(下方にある)。特に、ロボット603が図示するような完全退縮位置にある時には、メインフレームハウジングの中で(取り換えを可能にするよう)回転動作を経るために、エンドエフェクタとリスト部材のそれぞれは、第1エンドエフェクタが少なくとも部分的に(又は完全に)第2SCARAロボットのリスト部材と垂直に一列になる構成に、位置付けられうる。同様に、第2エンドエフェクタは、少なくとも部分的に(又は完全に)、第1SCARAロボットのリスト部材と垂直に一列になりうる。ブレードがリスト部材の上方にあるこの構成により、メインフレームハウジングの容積がより小さくなること、及び、システム設置面積のサイズを減少させることが可能になる。このことは、システム費用を低減し、システム容積を低減し、かつ、時間を削減しうる同様に、図示するようなダイヤモンドプラットフォーム構成の使用は、メインフレームとチャンバのアクセスを含む有用性を向上させる。
[0079]図7A及び図7Bは、ファセット702C及び702Dに結合された第1チャンバと第2チャンバとの間で基板を取り換えるために回転動作を実行するよう構成されたロボット703を有する、処理チャンバ及びロードロックが除去された状態の電子デバイス処理システム700の上面図を例示している。図示するように、エンドエフェクタ長さ、前腕部長さ、及び上部アーム長さのそれぞれは、エフェクタが部分的にのみ他方のSCARAロボットのリスト部材の上側を覆うか、又は下側を覆うように、図6A及び図6Bの実施形態よりも長くされている。ロボット703が先にロボット回転動作を経るこの時点で、スリットバルブドア(図示せず)が十分に退縮しうることから、前腕部がリスト部材のそれぞれと接合する前腕部の端部は、図示されている完全退縮状態にある時には、図示するように、各ファセット702C、702Dの平面に入り込み(それらを通過し)うる。このことにより、干渉が回避されるが、移送チャンバを大きくすることなくロボットをより大きなものにすることが可能になる。更にこのことにより、システムの設置面積のサイズが低減され、費用が低減され、かつ、従前のエンドエフェクタと比較してエンドエフェクタ構成を覆う容積が削減されうる。図7A及び図7Bの実施形態では、処理チャンバ(個々のチャンバ若しくはカルーセルとしての処理チャンバ)は、ファセット702B及び702Cに結合されてよく、ロードロックはファセット702A及び702Dに結合されうる。ロードロックは、単一位置ロードロックであるか、又は、図1Bで説明したような多位置ロードロックでありうる。
[0080]図8は、本発明の実施形態による3つの電子デバイス処理システム100A、100B、及び100Cの配設を含む、製造システム800を例示している。電子デバイス処理システム100A、100B、及び100Cは、図1A及び図1Bを参照して前述した電子デバイス処理システム100と同一のものでありうる。横に並んだシステムにおけるサービスアクセスを可能にするよう適合した通路は、なくてもよい。特に、製造システム800の隣接した電子デバイス処理システム100Aから100Cのカルーセルのそれぞれは、互いに直接当接しうる。このダイヤモンドプラットフォーム構成により、必要な製造空間の全体的な小型化が可能になり、システムの有用性も向上しうる。また更に、カルーセル908A、910A、908B、910Bとロードロック912A、912B、914A、914Bの両方にそれぞれ対処するために、1つのロボット903A、903B(点線で示す)を共通使用することにより、たとえ1つのロードロック912A、912B、914A、914Bの障害又は整備の場合においても、処理速度が低下するものの、継続作動が可能になる。
[0081]図9Bは、電子デバイス処理システム900Bのカルーセル908B、910Bが、隣接したカルーセル908B、910Bが横方向により近接した様態で、メインフレームハウジング901B上に位置付けられ、構成されうること、及び、隣接したロードロック912B、914Bも横方向により近接しうることを例示している。このことにより、電子デバイス処理システム900Bの幅方向の設置面積は、電子デバイス処理システム900Aと比較して、更に小型化される。特に、図9Bに示すように、移送チャンバ902Bの中心と各カルーセル908B、910Bの回転中心との間に画定され、かつ各カルーセル908B、910Bの回転中心を通って引かれた第1分離角度965Bは、90度を下回りうる。例えば、分離角度965Bは、約85度と約50度との間、又は、いくつかの実施形態では約70度と約50度との間の範囲でありうる。
[0082]移送チャンバ902Bの中心と各ロードロック912B、914Bの載置中心との間に画定され、かつ各ロードロック912B、914Bの載置中心を通って引かれたロードロック分離角度は、90度を下回りうる。例えば、ロードロック分離角度は、約85度と約50度との間、又は、いくつかの実施形態では約70度と約50度との間の範囲でありうる。
[0083]この場合、図9Aに例示された実施形態と同じく、カルーセル908A、908B、910A、910B及びロードロック912A、912B、914A、914Bのそれぞれは、メインフレームハウジング901A、901B内に形成された移送チャンバ902A、902Bのそれぞれを横切ってそれらが互いから対向するように、(図示するように)構成されうる。更に、構成要素は、各カルーセル908A、908B、910A、910Bの回転中心、移送チャンバ902A、902Bの中心、及び、各ロードロック912A、912B、914A、914Bの載置中心のそれぞれを通過する線に沿って配設され、置かれうる。図9Aの実施形態に示す電子デバイス処理システム900Aが、分離角度965Aが約90度であるストレートダイヤモンドプラットフォームと称されうる一方で、図9Bの実施形態は、回転ダイヤモンドプラットフォームと称されうる。
[0084]上述の説明は、本発明の例示的実施形態のみを開示するものである。本発明の範囲に含まれるこれまでに開示された装置、システム及び方法の変形例が、当業者には容易に明らかになるであろう。従って、本発明は例示的実施形態に関連して開示されてきたが、他の実施形態も、以下の特許請求の範囲によって規定される本発明の範囲に含まれうると、理解すべきである。

Claims (10)

  1. 移送チャンバを有するメインフレームハウジングと、
    前記メインフレームハウジングの1ファセットに結合された処理チャンバと、
    前記第1ファセットから概して対向する位置で前記メインフレームハウジングの別のファセットに結合された多位置ロードロックであって、入口がダブル高さで、本体が可動な多位置ロードロックと、
    可動本体に結合されたリフトアセンブリと、
    第1SCARAおよび第2SCARAを備えた多軸ロボットとを具備し、
    前記第1SCARAは、
    第1上部アームを貫通する肩軸の周囲で回転するよう適合した第1上部アームと、
    前記第1上部アームの末端部で前記第1上部アームの上側に回転式に結合された第1前腕部と、
    前記第1前腕部の第1外側位置で前記第1前腕部の下側に回転式に結合された第1リスト部材と、
    前記第1リスト部材に結合された、第1の高さにある第1エンドエフェクタとを有し、
    前記第2SCARAは、
    第2上部アームを貫通する前記肩軸の周囲で回転するよう適合した第2上部アームと、
    前記第1前腕部の下方に位置する第2前腕部であって、前記第2上部アームの末端部で前記第2上部アームに回転式に結合された第2前腕部と、
    前記第2前腕部の第2外側位置で前記第2前腕部に回転式に結合された第2リスト部材と、
    前記第2リスト部材に結合された、第2の高さにある第2エンドエフェクタとを有し、第2の高さは、前記第1の高さとは異なる高さであり、
    前記第1SCARAの第1エンドエフェクタは前記肩軸から離れて第1方向に伸長し、前記第2SCARAの第2エンドエフェクタは肩軸から離れて第2方向に伸長し、前記第2方向は前記第1方向とは逆方向であって、前記第1方向および前記第2方向によって略共平行の作用線が形成され、
    前記第1SCARAおよび前記第2SCARAが退縮位置にある間、前記第1SCARAの第1エンドエフェクタは、少なくとも部分的に前記第2SCARAの第2リスト部材と垂直に一列になるように、かつその上に位置し、前記肩軸から離れる第1方向に延び、前記第2SCARAの第2エンドエフェクタは、少なくとも部分的に前記第1SCARAの第1リスト部材と垂直に一列になるように、かつその下に位置し、前記肩軸から離れる第2方向に延び、
    初期段階では、前記第1上部アームは、前記略共平行の作用線の平面図の第1の側において、前記肩軸から第1の伸長位置にあり、前記第2上部アームは、前記略共平行の作用線の平面図の第2の側において、前記肩軸から第2の伸長位置にあり、前記第1の側と前記第2の側とが向かい合っており、
    前記初期段階では、前記第1エンドエフェクタおよび前記第2エンドエフェクタは、前記略共平行の作用線に沿って、前記肩軸から離れ、それぞれ、前記第1方向、前記第2方向に伸長していき、その結果として、前記第1エンドエフェクタ及び前記第2エンドエフェクタは前記肩軸から十分な距離へと伸長し、それぞれ、処理チャンバの入口部/出口部、前記多位置ロードロックのダブル高さ入口を通って伸長することとなり、
    前記第1エンドエフェクタおよび前記第2エンドエフェクタは、前記処理チャンバと前記多位置ロードロックとの間で基板を交換するために前記移送チャンバの中で動作するよう適合しており、
    前記第1エンドエフェクタおよび前記第2エンドエフェクタは、前記略共平行作用線に沿って伸長し、退縮するよう作動可能であり、
    前記可動本体は、複数の支持体を有し、前記リフトアセンブリは、複数の支持体のなかから選択した少なくとも1つの支持体に対して位置を合わせて、前記多位置ロードロックのダブル高さの入口の範囲内にある第1の高さの前記第1エンドエフェクタに係合させるとともに、前記多位置ロードロックのダブル高さの入口の範囲内にある第2の高さの前記第2エンドエフェクタに係合させるように構成される、
    電子デバイス処理システム。
  2. 第1ファセット、前記第1ファセットに対向する第2ファセット、第3ファセット、及び、前記第3ファセットに対向する第4ファセットを含む移送チャンバを備えるメインフレームハウジングと、
    前記第1ファセットに結合された第1カルーセルアセンブリと、
    前記第3ファセットに結合された第2カルーセルアセンブリと、
    前記第2ファセットに結合された第1多位置ロードロックであって、入口がダブル高さで、本体が第1可動本体である第1多位置ロードロックと、
    第1可動本体に結合されたリフトアセンブリと、
    前記第4ファセットに結合された第2ロードロックと、
    前記第1カルーセルアセンブリと前記第2カルーセルアセンブリの両方からの基板を交換するために前記移送チャンバ内で作動するよう適合した多軸ロボットと
    を備え、
    前記多軸ロボットは、第1SCARAおよび第2SCARAを備え、
    前記第1SCARAは、
    第1上部アームを貫通する肩軸の周囲で回転するよう適合した第1上部アームと、
    前記第1上部アームの末端部で前記第1上部アームの上側に回転式に結合された第1前腕部と、
    前記第1前腕部の第1外側位置で前記第1前腕部の下側に回転式に結合された第1リスト部材と、
    前記第1リスト部材に結合された、第1の高さにある第1エンドエフェクタとを有し、
    前記第2SCARAは、
    第2上部アームを貫通する前記肩軸の周囲で回転するよう適合した第2上部アームと、
    前記第1前腕部の下方に位置する第2前腕部であって、前記第2上部アームの末端部で前記第2上部アームに回転式に結合された第2前腕部と、
    前記第2前腕部の第2外側位置で前記第2前腕部に回転式に結合された第2リスト部材と、
    前記第2リスト部材に結合された、第2の高さにある第2エンドエフェクタとを有し、第2の高さは、前記第1の高さとは異なる高さであり、
    前記第1SCARAの第1エンドエフェクタは前記肩軸から離れて第1方向に伸長し、前記第2SCARAの第2エンドエフェクタは肩軸から離れて第2方向に伸長し、前記第2方向は前記第1方向とは逆方向であって、前記第1方向および前記第2方向によって略共平行の作用線が形成され、
    前記第1SCARAおよび前記第2SCARAが退縮位置にある間、前記第1SCARAの第1エンドエフェクタは、少なくとも部分的に前記第2SCARAの第2リスト部材と垂直に一列になるように、かつその上に位置し、前記肩軸から離れる第1方向に延び、前記第2SCARAの第2エンドエフェクタは、少なくとも部分的に前記第1SCARAの第1リスト部材と垂直に一列になるように、かつその下に位置し、前記肩軸から離れる第2方向に延び、
    初期段階では、前記第1上部アームは、前記略共平行の作用線の平面図の第1の側において、前記肩軸から第1の伸長位置にあり、前記第2上部アームは、前記略共平行の作用線の平面図の第2の側において、前記肩軸から第2の伸長位置にあり、前記第1の側と前記第2の側とが向かい合っており、
    前記初期段階では、前記第1エンドエフェクタおよび前記第2エンドエフェクタは、前記略共平行の作用線に沿って、前記肩軸から離れ、それぞれ、前記第1方向、前記第2方向に伸長していき、その結果として、前記第1エンドエフェクタ及び前記第2エンドエフェクタは前記肩軸から十分な距離へと伸長し、それぞれ、処理チャンバの入口部/出口部、前記第1多位置ロードロックのダブル高さ入口を通って伸長することとなり、
    前記第1可動本体は、複数の支持体を有し、前記リフトアセンブリは、複数の支持体のなかから選択した少なくとも1つの支持体に対して位置を合わせて、前記第1多位置ロードロックのダブル高さの入口の範囲内にある第1の高さの第1エンドエフェクタに係合させるとともに、前記第1多位置ロードロックのダブル高さの入口の範囲内にある第2の高さの第2エンドエフェクタに係合させるように構成される、
    電子デバイス処理システム。
  3. 前記多軸ロボットは、前記第1カルーセルアセンブリから第1基板を取り出すのと同時に、前記第1多位置ロードロックから第2基板を取り出し、あるいは第1基板を前記第1カルーセルアセンブリに載置するのと同時に、前記第1多位置ロードロックに第2基板を載置するように構成され、適合している、請求項に記載の電子デバイス処理システム。
  4. 前記多軸ロボットは、退縮し、回転した後で、前記第1多位置ロードロック内に第1基板を載置することと、前記第1カルーセルアセンブリ内に第2基板を載置することとを同時に行うよう構成され、適合している、請求項に記載の電子デバイス処理システム。
  5. 前記第1カルーセルアセンブリまたは前記第2カルーセルアセンブリは、カルーセルチャンバおよび回転カルーセルプラットフォームを備え、前記回転カルーセルプラットフォーム上には複数の基板載置場所がある、請求項に記載の電子デバイス処理システム。
  6. 前記第1エンドエフェクタおよび前記第2エンドエフェクタは、略共平行の作用線に沿って、同時又は逐次、伸長するように動作可能である、請求項に記載の電子デバイス処理システム。
  7. 前記第2ロードロックは第2多位置ロードロックである、請求項に記載の電子デバイス処理システム。
  8. 前記複数の支持体は、複数の基板を支持するように構成され、適合している、請求項に記載の電子デバイス処理システム。
  9. 前記第1カルーセルアセンブリ内のステーションの個数以上の個数の支持位置を有する、請求項に記載の電子デバイス処理システム。
  10. 前記ダブル高さの入口は、異なる高さにある前記第1エンドエフェクタおよび前記2エンドエフェクタを収容するこことのできる寸法である、請求項に記載の電子デバイス処理システム。
JP2016502480A 2013-03-15 2014-03-14 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法 Active JP6703937B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361787117P 2013-03-15 2013-03-15
US61/787,117 2013-03-15
US201361868795P 2013-08-22 2013-08-22
US61/868,795 2013-08-22
US201361879076P 2013-09-17 2013-09-17
US61/879,076 2013-09-17
PCT/US2014/027567 WO2014143662A1 (en) 2013-03-15 2014-03-14 Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing

Publications (3)

Publication Number Publication Date
JP2016512398A JP2016512398A (ja) 2016-04-25
JP2016512398A5 JP2016512398A5 (ja) 2017-04-27
JP6703937B2 true JP6703937B2 (ja) 2020-06-03

Family

ID=51527659

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016502480A Active JP6703937B2 (ja) 2013-03-15 2014-03-14 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法

Country Status (6)

Country Link
US (2) US10427303B2 (ja)
JP (1) JP6703937B2 (ja)
KR (2) KR20210014778A (ja)
CN (2) CN105164799B (ja)
TW (1) TWI614102B (ja)
WO (1) WO2014143662A1 (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5581338B2 (ja) 2009-01-11 2014-08-27 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造において基板を搬送するためのロボットシステム、装置、および方法
CN104428884B (zh) * 2012-07-05 2017-10-24 应用材料公司 吊杆驱动装置、多臂机械手装置、电子器件处理系统及用于在电子器件制造系统中传送基板的方法
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
CN103192384B (zh) * 2013-03-11 2015-08-19 上海交通大学 一种集成旋转变压器的静态真空轴系装置
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
KR101686032B1 (ko) * 2013-03-28 2016-12-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9717147B2 (en) 2013-09-26 2017-07-25 Applied Materials, Inc. Electronic device manufacturing system
TWI641458B (zh) 2014-01-05 2018-11-21 美商應用材料股份有限公司 用於傳輸電子裝置製造中之基板之機器人設備、驅動組件,及方法
WO2015116674A1 (en) * 2014-01-28 2015-08-06 Brooks Automation, Inc. Substrate transport apparatus
EP3218926A2 (en) 2014-11-14 2017-09-20 Mapper Lithography IP B.V. Load lock system and method for transferring substrates in a lithography system
CN107534000B (zh) * 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US9799544B2 (en) 2015-10-23 2017-10-24 Applied Materials, Inc. Robot assemblies, substrate processing apparatus, and methods for transporting substrates in electronic device manufacturing
CN105702607B (zh) * 2016-03-17 2018-09-25 东方晶源微电子科技(北京)有限公司 机械臂和检查系统
TWI707754B (zh) 2016-06-28 2020-10-21 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之方法
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
US11270904B2 (en) * 2016-07-12 2022-03-08 Brooks Automation Us, Llc Substrate processing apparatus
WO2018125752A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ald process uniformity
TWI813555B (zh) * 2017-02-07 2023-09-01 美商布魯克斯自動機械美國公司 基材運送方法及設備
JP6881010B2 (ja) * 2017-05-11 2021-06-02 東京エレクトロン株式会社 真空処理装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10453725B2 (en) 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
TWI680532B (zh) * 2018-07-04 2019-12-21 環球晶圓股份有限公司 製程設備及其晶圓承載盤
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7183635B2 (ja) * 2018-08-31 2022-12-06 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
WO2020072507A1 (en) * 2018-10-04 2020-04-09 Applied Materials, Inc. Transport system
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
CN110255156A (zh) * 2019-06-29 2019-09-20 苏州精濑光电有限公司 一种中转装置
KR102240925B1 (ko) * 2019-07-17 2021-04-15 세메스 주식회사 기판 처리 설비 및 기판 반송 장치
TWI797461B (zh) * 2019-07-26 2023-04-01 日商新川股份有限公司 封裝裝置
CN112582318A (zh) * 2019-09-30 2021-03-30 沈阳芯源微电子设备股份有限公司 涂胶显影设备
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11557496B2 (en) * 2020-03-23 2023-01-17 Applied Materials, Inc. Load lock with integrated features
CN113829377B (zh) * 2020-06-24 2023-08-18 拓荆科技股份有限公司 一种衬底的装载和拾取装置及衬底的装载和拾取方法
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
CN112249685B (zh) * 2020-10-27 2022-04-01 光驰科技(上海)有限公司 进行基板快速交互搬送的机构及其搬送方法
US11996307B2 (en) * 2020-12-23 2024-05-28 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
WO2023129142A1 (en) * 2021-12-28 2023-07-06 Applied Materials, Inc. Electronic device manufacturing systems having paired deposition chambers for enhanced deposition uniformity
KR102431664B1 (ko) 2022-02-15 2022-08-12 (주) 티로보틱스 진공 챔버에서 기판을 이송하기 위한 기판 이송 로봇
KR102431679B1 (ko) 2022-02-15 2022-08-12 (주) 티로보틱스 진공 챔버에서 기판을 이송하기 위한 기판 이송 로봇

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US20040005211A1 (en) 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
US20020098072A1 (en) * 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
JP2004282002A (ja) 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP4411025B2 (ja) 2003-07-11 2010-02-10 株式会社ダイヘン 2アーム式搬送ロボット
JP2004080053A (ja) * 2003-11-07 2004-03-11 Hitachi Kokusai Electric Inc 半導体製造装置
US8376685B2 (en) * 2004-06-09 2013-02-19 Brooks Automation, Inc. Dual scara arm
KR101041685B1 (ko) 2005-02-12 2011-06-14 어플라이드 머티어리얼스, 인코포레이티드 다축 진공 모터 조립체
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
WO2007061603A2 (en) 2005-11-21 2007-05-31 Applied Materials, Inc. Methods and apparatus for transferring substrates during electronic device manufacturing
KR20080004118A (ko) 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
US8061232B2 (en) 2006-08-11 2011-11-22 Applied Materials, Inc. Methods and apparatus for a robot wrist assembly
KR101366651B1 (ko) 2007-05-31 2014-02-25 어플라이드 머티어리얼스, 인코포레이티드 이중 스카라 로봇 링키지의 리치를 연장하기 위한 방법 및 장치
KR100850436B1 (ko) * 2007-06-20 2008-08-05 주식회사 싸이맥스 반도체 제조설비
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
JP5581338B2 (ja) * 2009-01-11 2014-08-27 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造において基板を搬送するためのロボットシステム、装置、および方法
KR101287000B1 (ko) 2009-01-11 2013-07-23 어플라이드 머티어리얼스, 인코포레이티드 로봇 및 로봇의 전기 엔드 이펙터로 전기 연결을 하기 위한 시스템, 장치 및 방법
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
TWI394224B (zh) 2009-02-24 2013-04-21 Intevac Inc 載送及處理基板之裝置與方法
JP2011077399A (ja) 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
WO2011055822A1 (ja) * 2009-11-09 2011-05-12 東京エレクトロン株式会社 基板処理装置、基板搬送装置及び基板処理装置の制御方法
JP5518550B2 (ja) * 2010-04-12 2014-06-11 東京エレクトロン株式会社 被処理体処理装置
CN103476551B (zh) * 2010-11-10 2016-08-10 布鲁克斯自动化公司 双臂机器人
JP2011139074A (ja) * 2011-01-07 2011-07-14 Applied Materials Inc 基板の破損及び移動中の基板のずれを動的に検出するセンサ
KR102392186B1 (ko) * 2011-03-11 2022-04-28 브룩스 오토메이션 인코퍼레이티드 기판 처리 툴
JP5940342B2 (ja) * 2011-07-15 2016-06-29 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
US9202733B2 (en) * 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
US20130149076A1 (en) 2011-12-12 2013-06-13 Applied Materials, Inc. Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
CN104271474B (zh) * 2011-12-16 2018-01-09 布鲁克斯自动化公司 输送设备
TWI629743B (zh) * 2012-02-10 2018-07-11 布魯克斯自動機械公司 基材處理設備
CN104428884B (zh) 2012-07-05 2017-10-24 应用材料公司 吊杆驱动装置、多臂机械手装置、电子器件处理系统及用于在电子器件制造系统中传送基板的方法
KR102094390B1 (ko) * 2012-11-30 2020-03-27 어플라이드 머티어리얼스, 인코포레이티드 모터 모듈들, 다중-축 모터 구동 조립체들, 다중-축 로봇 장치, 및 전자 디바이스 제조 시스템들 및 방법들
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
US9245783B2 (en) 2013-05-24 2016-01-26 Novellus Systems, Inc. Vacuum robot with linear translation carriage
US10157763B2 (en) * 2013-08-29 2018-12-18 Varian Semiconductor Equipment Associates, Inc. High throughput substrate handling endstation and sequence

Also Published As

Publication number Publication date
CN105164799B (zh) 2020-04-07
US20140271055A1 (en) 2014-09-18
KR20210014778A (ko) 2021-02-09
JP2016512398A (ja) 2016-04-25
TW201438858A (zh) 2014-10-16
CN105164799A (zh) 2015-12-16
CN111489987A (zh) 2020-08-04
US20190375105A1 (en) 2019-12-12
KR20150131117A (ko) 2015-11-24
KR102214394B1 (ko) 2021-02-08
US10427303B2 (en) 2019-10-01
TWI614102B (zh) 2018-02-11
WO2014143662A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
JP6703937B2 (ja) 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法
US11978649B2 (en) Substrate processing apparatus
KR101475421B1 (ko) 독립적으로 움직임가능한 다중 관절형 아암들을 구비한 기판 이송 장치
US8439623B2 (en) Linear semiconductor processing facilities
US8768513B2 (en) Systems having multi-linkage robots and methods to correct positional and rotational alignment in multi-linkage robots
KR20210049072A (ko) 통합된 얼라이너를 갖는 로봇
KR102199674B1 (ko) 이격된 상부 암들 및 인터리빙된 리스트들을 포함하는 듀얼 로봇, 및 이를 포함하는 시스템들 및 방법들
US7458763B2 (en) Mid-entry load lock for semiconductor handling system
EP3711086A1 (en) Wafer handling robots with rotational joint encoders
KR20190109487A (ko) 기판 이송 장치의 위치 보상 방법 및 장치
US20210146554A1 (en) Multi-finger robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
JP7486570B2 (ja) ロボット埋め込み型視覚装置
US20210183675A1 (en) Substrate processing apparatus
US11850742B2 (en) Dual robot including splayed end effectors and systems and methods including same
WO2023086848A1 (en) Nesting atmospheric robot arms for high throughput

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170314

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190522

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20191001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200203

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200414

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200511

R150 Certificate of patent or registration of utility model

Ref document number: 6703937

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250