JP7183635B2 - 基板搬送機構、基板処理装置及び基板搬送方法 - Google Patents

基板搬送機構、基板処理装置及び基板搬送方法 Download PDF

Info

Publication number
JP7183635B2
JP7183635B2 JP2018163103A JP2018163103A JP7183635B2 JP 7183635 B2 JP7183635 B2 JP 7183635B2 JP 2018163103 A JP2018163103 A JP 2018163103A JP 2018163103 A JP2018163103 A JP 2018163103A JP 7183635 B2 JP7183635 B2 JP 7183635B2
Authority
JP
Japan
Prior art keywords
arm
substrate
support
rotating
distance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018163103A
Other languages
English (en)
Other versions
JP2020035954A5 (ja
JP2020035954A (ja
Inventor
貴光 深澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2018163103A priority Critical patent/JP7183635B2/ja
Priority to US16/548,652 priority patent/US10872798B2/en
Priority to KR1020190106633A priority patent/KR102244352B1/ko
Publication of JP2020035954A publication Critical patent/JP2020035954A/ja
Publication of JP2020035954A5 publication Critical patent/JP2020035954A5/ja
Application granted granted Critical
Publication of JP7183635B2 publication Critical patent/JP7183635B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Description

本開示は、基板を搬送する技術に関する。
半導体デバイスの製造工程における基板処理を高いスループットで行う装置として、処理容器内に水平方向に並ぶ複数の基板処理部を設け、各基板処理部に各々基板である半導体ウエハ(以下「ウエハ」とする)を搬送し、一度に複数枚のウエハを処理する基板処理装置が知られている。このような基板処理装置は、例えば被処理対象のウエハが複数枚ストックされる基板載置部を備え、基板搬送装置により基板載置部から基板が取り出され、各基板処理部に夫々基板が搬送される。
例えば特許文献1には、水平に2×2の行列状に並べて配置された4台のステージにウェーハを受け渡す基板搬送ロボットにおいて、4枚のウェーハを水平に2×2の行列状に並べて搬送することができる基板搬送ロボットが記載されている。
また特許文献2には、1枚の基板をそれぞれ保持する複数のハンドリングアームを備えたトランスファーロボットが記載されている。そして複数の基板を収容するプロセスモジュールに対して基板を受け渡すにあたって、基板を保持した複数のハンドリングアームを左右方向に開き、基板を左右方向に離間させた状態で支持してプロセスモジュールに対して複数の基板を一括して受け渡す技術が記載されている。
特開2006-294786号公報 特開2010-157736号公報
本開示はこのような事情の下になされたものであり、基板搬送機構により複数の基板を搬送するにあたって、異なるレイアウトで複数の基板を各々載置する複数のモジュールに対して基板を受け渡す技術を提供することにある。
本開示の基板搬送機構は、横方向に移動する移動体と、
前記移動体に支持される支持体と、
前記支持体に互いに離れて設けられる各々縦方向の第1の回動軸及び第2の回動軸と、
前記第1の回動軸から前方に向けて伸び、その先端側が前記支持体の外側で基板を支持する第1の基板支持領域をなす第1のアームと、
前記第2の回動軸から前方に向けて伸び、その先端側が前記支持体の外側で前記第1のアームに支持される基板とは別の基板を支持する第2の基板支持領域をなすように、第1のアームとは異なる高さに設けられる第2のアームと、
前記移動体に対して前記支持体を回動させるための縦方向の第3の回動軸と、
前記第1の基板支持領域と第2の基板支持領域との左右の距離が保たれるように前記支持体を回動させる第1の回動動作と、前記左右の距離が第1の距離と当該第1の距離よりも狭い第2の距離との間で変更されるように前記第1の回動軸及び第2の回動軸のうちの少なくとも一方の回動と共に前記支持体を回動させる第2の回動動作と、を切り替えて行う切り替え機構と、を備え
前記第3の回動軸は、左右方向において前記第1の回動軸と、前記第2の回動軸との間に設けられ、
前記切り替え機構は前記第2の回動動作において、前記第1の回動軸及び第2の回動軸を回動させ、
基端側が前記第1の回動軸から左右の一方に向かって伸び、先端側が前方へ向かって伸びるように前記第1のアームは屈曲し、
基端側が前記第2の回動軸から左右の他方に向かって伸び、先端側が前方へ向かって伸びるように前記第2のアームは屈曲する。
本開示によれば、基板搬送機構により複数の基板を搬送するにあたって、異なるレイアウトで複数の基板を各々載置する複数のモジュールに対して基板を受け渡すことができる。
本実施の形態に係る真空処理装置を示す平面図である。 ロードロック室の斜視図である。 ウエハを平置きで支持する形態の真空搬送アームを示す斜視図である。 ウエハを段積みで支持する形態の真空搬送アームを示す斜視図である。 ウエハ保持部の回動動作を示す説明図である。 ウエハ保持部の回動動作を示す説明図である。 ウエハ保持部の回動動作を示す説明図である。 ウエハ保持部の回動動作を示す説明図である。 ウエハ保持部の側断面図である。 ウエハ保持部の縦断正面図である。 処理モジュールを示す断面図である。 ウエハ保持部と載置台とのウエハの受け渡しを示す平面図である。 本実施の形態に係る真空搬送装置の作用を示す説明図である。 本実施の形態に係る真空搬送装置の作用を示す説明図である。 ロードロック室におけるウエハの受け渡しを説明する説明図である。 ロードロック室におけるウエハの受け渡しを説明する説明図である。 本実施の形態に係る真空搬送装置の作用を示す説明図である。 本実施の形態に係る真空搬送装置の作用を示す説明図である。 本実施の形態に係る真空搬送装置の作用を示す説明図である。 本実施の形態に係る真空搬送装置の作用を示す説明図である。 本実施の形態に係る真空搬送装置の作用を示す説明図である。 ロードロック室におけるウエハの受け渡しを説明する説明図である。 ロードロック室におけるウエハの受け渡しを説明する説明図である。 真空搬送アームの他の例におけるウエハを平置きで支持する形態を示す斜視図である。 真空搬送アームの他の例におけるウエハを段積みで支持する形態を示す斜視図である。 ウエハ保持部の回動動作を示す説明図である。 ウエハ保持部の回動動作を示す説明図である。 ウエハ保持部の回動動作を示す説明図である。 ウエハ保持部の回動動作を示す説明図である。 真空搬送アームのさらに他の例におけるウエハを平置きで支持する形態を示す平面図である。 真空搬送アームの更に他の例におけるウエハを段積みで支持する形態を示す平面図である。
本実施の形態に係る基板処理装置の一例である真空処理装置について説明する。図1、図2に示すように、この真空処理装置は、その内部雰囲気が乾燥ガス、例えば乾燥した空気あるいは窒素ガスにより常圧雰囲気(空気の場合には大気雰囲気ということもできる)とされる矩形の常圧搬送室2を備えている。常圧搬送室2には、ウエハWの搬送容器であるキャリアCを載置するための搬入出ポート1が左右方向に5個並べて設置されている。搬入出ポート1側を手前側、常圧搬送室2側を奥側とすると、常圧搬送室2の正面壁には、前記キャリアCの蓋と一緒に開閉されるドア12が取り付けられている。常圧搬送室2内には、ウエハWを搬送するための関節アームで構成された常圧搬送機構である常圧搬送アーム20が設けられている。常圧搬送アーム20は、図示しない移動機構を備え、移動機構により常圧搬送室2の長さ方向に向かって伸びるガイドレール21に沿って移動できるように構成されている。常圧搬送室2、常圧搬送アーム20、搬入出ポート1は、ローダーモジュールに相当する。
図1に示すように常圧搬送室2を手前側から見て奥側の壁の右寄り及び左寄りの位置には、夫々ロードロックモジュールであるロードロック室3A、3Bが設けられている。ロードロック室3A、3Bは、搬入出ポート1から奥側を見て、真空搬送室9の横方向の中心を通る中心軸に対して互いに鏡像対称に構成されている。
ロードロック室3A、3Bは鏡像対称であることから、ここでは右側のロードロック室3Aについて説明する。図1、図2に示すようにロードロック室3Aは、形状が真空処理装置の左右方向に伸びる矩形の真空容器30を備えている。真空容器30における常圧搬送室2側の側面には、搬送口31が形成され、搬送口31には、ゲートバルブ32が設けられている。またロードロック室3Aの搬送口31からロードロック室3A内を見て左側の面には、ウエハWの搬送口33が形成され、搬送口33は、搬送口33を開閉するゲートバルブ34を介して、共通の真空搬送室9が接続されている。
図2に示すようにロードロック室3Aの内部には、搬送口33側から見て、手前側と奥側とにこの順で並ぶように、ウエハWを水平な姿勢で載置するウエハ載置棚300A、300Bが設けられている。ウエハ載置棚300A、300Bは、3本の支柱35と、各支柱35から水平に伸び出す爪部36を備えており、この爪部36にウエハWの周縁部を支持させることにより、ウエハWを水平な姿勢で保持することができる。
爪部36は、各支柱35の異なる2つの高さ位置に設けられており、各ウエハ載置棚300A、300Bは、各々ウエハWを2段で保持することができる。この時ウエハ載置棚300Aの上段側のウエハWの保持する高さ位置と、ウエハ載置棚300Bの上段側のウエハWの保持する高さ位置とは同じであり、ウエハ載置棚300Aの下段側のウエハWの保持する高さ位置と、ウエハ載置棚300Bの下段側のウエハWの保持する高さ位置とは同じである。
なお各支柱35は、各々搬送口31側及び搬送口33側からロードロック室3A内にウエハWを搬入しようとしたときに、ウエハWと干渉しない位置に設けられている。また爪部36は、常圧搬送アーム20及び後述の真空搬送アーム5を昇降させたときに各アームと干渉しないように位置に設けられている。
またロードロック室3Aは、ロードロック室3A内を排気して真空雰囲気とするための図示しない排気口が形成されると共に、ロードロック室3A内に不活性ガス例えば窒素(N)ガスを供給して大気雰囲気(常圧雰囲気)にするための図示しないガス供給口が設けられている。これによりロードロック室3A内を真空雰囲気と常圧雰囲気との間で切り替えることができる。
図1に示すように真空搬送室9は手前側から奥側に向かって伸びる概略矩形に構成され、底面部にその内部を真空雰囲気とするための排気口9Aが形成され、排気口9Aは、真空排気部10に接続されている。また搬入出ポート1から見て真空搬送室9の左右の側面には、処理モジュール6が各々接続されている。図1に示すように真空搬送室9における中心部から外れた位置、ここでは、搬入出ポート1から見て真空搬送室9の手前寄りの位置における中心部の右側の側壁の手前に基板搬送機構である真空搬送アーム5が設けられている。
図3、図4に示すように真空搬送アーム5は、基台50に接続された下段腕部51と、下段腕部51の先端に連結された上段腕部52と、を備えている。基台50及び下段腕部51と、下段腕部51及び上段腕部52と、は、夫々鉛直に伸びる回動軸50A、51Aを介して鉛直軸周りに回動自在に連結された多関節アームとして構成されている。また基台50に図示しない昇降機構が設けられており、下段腕部51及び上段腕部52が昇降自在に構成されている。基台50、下段腕部51、上段腕部52は、移動体に相当する。
上段腕部52の先端には、ウエハWを保持するウエハ保持部500が設けられている。ウエハ保持部500は、第1のアーム53、第2のアーム54及び第1のアーム53及び第2のアーム54を支持する支持体である回動体55を備えている。ウエハ保持部500は、回動体55の中心部を通り鉛直方向に伸びる第3の回動軸55Aを介して上段腕部52の先端に回動自在に接続されている。
図3、図4中の回動体55から見て、第1のアーム53の先端側が伸びる方向を前方とすると、詳しくは後述するが、ウエハ保持部500は図3に示すように第1のアーム53と、第2のアーム54と、が左右に距離をあけて伸びる形態と、図4に示すように第1のアーム53と、第2のアーム54と、が上下に揃った位置を伸びる形態とを切り替えることができる。ウエハ保持部500の構成を説明するにあたっては、図3の状態をもとに説明する。
図3に示すように回動体55は、平面で見て左右方向に伸びる部材である。回動体55の上面における左側の端部寄りの位置には、鉛直方向に伸びる第1の回動軸53Aを介して第1のアーム53が接続されている。また回動体55の上面における右側の端部寄りの位置には、鉛直方向に伸びる第2の回動軸54Aを介して第2のアーム54が接続されている。なおこの例では、第1の回動軸53Aと、第2の回動軸54Aと、は第3の回動軸55Aに対して対称な位置に設けられている。
第1のアーム53の基端の下面側には、第1のアーム53先端側の下面の高さ位置を第2のアーム54に保持されたウエハWの上面の高さ位置よりも高くするための台座部53Bが設けられている。なお第1のアーム53の上面の高さ位置と第2のアーム54の上面の高さ位置との距離は、ロードロック室3A、3B内に設けられた各ウエハ載置棚300A、300Bにおける上段側のウエハWを保持する高さ位置と下段側のウエハWを保持する高さ位置との距離と揃うように設定されている。
第1のアーム53は、基端側が第1の回動軸53Aから左前方に向かって伸び、先端側が前方に向かって伸びるように屈曲している。なお第1のアーム53を前方に向かって見たときに、第1のアーム53の先端側は、第1の回動軸53Aから左側に、第1の回動軸53Aと回動体55の中心部との間の離間距離と同じ距離だけ離れるように構成されている。
第2のアーム54の下面には、台座部が設けられておらず、第2のアーム54は、基端側が第2の回動軸54Aから右前方に向かって伸び、先端側が前方に向かって屈曲している。また第2のアーム54を前方に向かって見たときに、第2のアーム54の先端側は、第2の回動軸54Aから右側に、第1の回動軸53Aと回動体55の中心部との間の離間距離と同じ距離だけ離れるように構成されている。なお第1のアーム53と、第2のアーム54とは平面で見たときに第3の回動軸55Aを通り前後方向に伸びる軸線Lに対して鏡面対称となっている。
第1のアーム53の先端側の前後方向に伸びる部位には、回動体55の外側において長さ方向に沿って2枚のウエハWを支持する第1の基板支持領域501が形成され、第2のアーム54の先端側の前後方向に伸びる部位には、回動体55の外側において長さ方向に沿って2枚のウエハWを支持する第2の基板支持領域502が形成されている。
図3の状態にて、第1の基板支持領域501における2枚のウエハWの支持領域と、第2の基板支持領域502における2枚のウエハWの支持領域とは、互いに軸線Lに対して鏡面対称に配置されている。
以上説明した図3に示す形態は、後述の処理モジュール6に対してウエハWを受け渡すときに第1のアーム53、第2のアーム54がとる形態である。そして図4に示す形態は、ロードロック室3A、3Bに対してウエハを受け渡すときに第1のアーム53、第2のアーム54がとる形態である。
図5A~5Dは、図3に示す形態と、図4に示す形態と間の第1のアーム53、及び第2のアーム54の形態の変化を示す。なお図3では、第1の基板支持領域501と第2の基板支持領域502とが左右に離間するように配置されることから以下明細書中では、「ウエハWを平置きで支持する形態」と呼び、図4では、第1の基板支持領域501と第2の基板支持領域502とが上下に重なるように配置されることから以下明細書中では、「ウエハWを段積みで支持する形態」と呼ぶものとする。
図5Aは、図3に示す形態であり、この形態から回動体55を上方から見て反時計回りに回動する。この時第1のアーム53、及び第2のアーム54は、回動体55の回動に合わせて、上方から見て回動体55に対して時計回りに回転する。このように回動体55の回動に合わせて第1のアーム53、及び第2のアーム54を回動させることにより、第1のアーム53、及び第2のアーム54は、先端の向きが保たれ、互いに平行な状態を維持する。
そして第1のアーム53、第2のアーム54及び回動体55を回動させることにより図5Aの形態から図5Bに示すように第1のアーム53、及び第2のアーム54の先端の距離が徐々に近くなる。さらに第1のアーム53、第2のアーム54及び回動体55を回動させることで、第2のアーム54が第1のアーム53の下方にもぐる。そして図5Aの形態から回動体55を180°回動させると、図5Dに示すように第1のアーム53及び第2のアーム54に夫々形成された第1の基板載置領域501及び第2の基板載置領域502が平面視、軸線L上に揃い互いに重なる。これによりウエハWを平置きで支持する形態から、ウエハWを段積みで支持する形態に切り替えることができる。
また図5Aから図5Dの逆の動作、即ち図5D、5C、5B、5Aの順で動作させることにより、ウエハWを段積みで支持する形態からウエハWを平置きで支持する形態に戻る。
既述のようにウエハ保持部500は、回動体55と、第1のアーム53及び第2のアーム54を互いに独立して回動させることができる。そのような駆動機構の一例を図6、7に示す。図6、図7は、夫々ウエハ保持部500の側断面図及び縦断正面図を示す。図6、図7に示すように上段腕部52の内部には基台50側の図示しないモータにより駆動されるベルト91を備え、ベルト91は、第3の回動軸55Aを鉛直軸周りに回動させるように設けられ、第3の回動軸55Aを回動させることにより回動体55が上段腕部52に対して独立して回動する。
また上段腕部52の内部の先端側には、プーリ93が設けられ、プーリ93には、第3の回動軸55Aの内部を上方に向かって伸びるアーム用回動軸90の下端が接続されている。なお第3の回動軸55Aと、アーム用回動軸90と、の間には、わずかな隙間が設けられており、第3の回動軸55Aと、アーム用回動軸90と、互いに干渉しないように回動することができる。またアーム用回動軸90の上端には、回動体55内部に設けられたギア94に接続されており、ギア94の回転により、第1のギアボックス95内のギアが回動し、第1の回動軸53Aを介して、第1のアーム53が回動する。またギア94の回転により、第2のギアボックス96内のギアが回動し、第2の回動軸54Aを介して、第2のアーム54が回動する。このような構成により第1のアーム53及び第2のアーム54と、回動体55とを互いに独立して回動させることができる。
そしてベルト91、92をいずれも回転させたとき、例えばベルト91、92を同じ方向に同時に回転させたときには、第1のアーム53、及び第2のアーム54が回動体55の回動に合わせて、回動体55の回動方向と同じ方向に回動する。このように第1のアーム53、及び第2のアーム54を回動体55の回動に合わせて回動させることで、回動体55に対する第1のアーム53、及び第2のアーム54の相対的な位置が変わらない。このように第1のアーム53及び第2のアーム54を回動体55に対して相対的に回動させずに、回動体55を回動させることで、第1のアーム53と第2のアーム54と、の間の距離を一定にしたまま回動体55を回動させる第1の回動動作を行うことができる。
また2本のベルト91、92のうちベルト91のみを回転させることで、第1のアーム53、及び第2のアーム54の先端側が伸びる方向を変えずに回動体55だけ回動させることができる。この時第1のアーム53、及び第2のアーム54は、回動体55に対して相対的に回動することで、図5A~Dに示すように第1のアーム53と第2のアーム54と、の間の距離を変更することができ、例えば図3に示すウエハWを平置きで支持する形態と、図4に示すウエハWを段積みで支持する形態とを切り替える第2の回動動作を実行することができる。
続いて処理モジュール6について、図8の縦断側面図を参照しながら説明する。2つの処理モジュール6は、ウエハWにプラズマALD(Atomic Layer Deposition)により成膜する成膜モジュールであり、2つとも同様に構成され、処理モジュール6間で互いに並行してウエハWの処理を行うことができる。処理モジュール6は、平面視、矩形の真空容器(処理容器)61を備えており(図1参照)、真空容器61の側壁にはゲートバルブGによって開閉されるウエハWの搬送口62が開口している。図8中の符号63は真空容器61の底面に開口した排気口であり、排気管64を介して真空ポンプ65に接続されている。図8中の符号66は排気管64に介設された圧力調整部であり、真空容器61内が所望の圧力の真空雰囲気となるように真空ポンプ65による排気口63からの排気量を調整する。
真空容器61内には、搬送口62から見て、手前から奥に向けてウエハWを載置する載置台67A、67Bが列をなしてこの順に設けられ、この載置台67A、67Bの列は搬送口62から見て左右に並べられて設けられることで、平面で見てウエハWは真空容器61内に2×2の行列状に、合計4枚載置される。載置台67A、67Bは互いに同様に構成されており、円形且つ水平に形成されている。図9に示すように搬送口62から見て左側の載置台67Aと、載置台67Bと、は、夫々ウエハWを平置きで支持する形態としたウエハ保持部500における第1のアーム53の基端側の第1の基板支持領域501と、先端側の第1の基板支持領域501と、に対応する位置に設けられている。また搬送口62から見て右側の載置台67Aと、載置台67Bと、は、夫々ウエハWを平置きで支持する形態としたウエハ保持部500における第2のアーム54の基端側の第2の基板支持領域502と、先端側の第2の基板支持領域502と、に対応する位置に設けられている。図8中の符号70は載置台67A、67Bに各々埋設されたヒーターであり、載置台67A、67Bに載置された各ウエハWを300℃~450℃に加熱する。
図8中の符号68は真空容器61の底面の中央部を貫通する支柱であり、当該支柱68の上端からは4つの支持アーム69が水平に放射状に伸びて、載置台67A、67Bを下方側から支持している。支柱68の下端側は、真空容器61の下方外側で昇降機構71に接続されており、当該昇降機構71により支柱68及び支持アーム69を介して載置台67A、67Bが、図8中に実線で示す位置と鎖線で示す位置との間で昇降する。実線で示す位置は、ウエハWを処理するための処理位置であり、鎖線で示す位置は、載置台67A、67Bと上記の真空搬送アーム5との間でウエハWを受け渡すための受け渡し位置である。なお、図8中の72は、真空容器61内を気密に保つためのシール部材である。
図8、図9に示すように各載置台67A、67Bには、第1のアーム53及び第2のアーム54を避けるように3つの貫通孔73が形成されており(図8では2つのみ表示している)、各貫通孔73には真空搬送アーム5との間でウエハWを受け渡すために昇降する昇降ピン75が設けられている。図8中の符号74は、昇降ピン75を昇降させる昇降機構74であり、真空容器61の下方の外側に設けられている。なお図8中の76は、真空容器61内の気密性を確保するためのベローズである。
真空容器61の天井において載置台67A及び67Bの上方には、絶縁部材77Aを介してガスシャワーヘッド77が各々設けられている。ガスシャワーヘッド77の下面は載置台67A、67Bに対向し、当該下面にはガス吐出孔78が多数、分散して配設されている。またガスシャワーヘッド7には整合器701を介して高周波電源702が接続される。また載置台67A、67B内には、図示しない下部電極が埋設されており、下部電極は、接地電位に接続されている。図8中の符号79はガス供給部であり、ガスシャワーヘッド77に四塩化チタン(TiCl)、水素(H)ガス、アルゴン(Ar)ガス、窒素(N)ガスを夫々独立して供給し、これらのガスがガス吐出口78から各々吐出される。
処理モジュール6によるウエハWの成膜処理について説明すると、受け渡し位置に位置する2つの載置台67A、2つの載置台67BにウエハWが載置された後、ヒーター70によりウエハWが加熱されると共に載置台67A、67Bが上昇して処理位置に移動する。次いで、ガスシャワーヘッド77から成膜用のガスとしてTiClガスを供給し、ウ
エハWの表面に吸着させる。さらに反応ガスとしてArガス及びHガスを供給する。さらに高周波電源702からガスシャワーヘッド77と載置台67A、67B内の下部電極との間に高周波電力を印加することにより供給された反応ガスを容量結合によりプラズマ化する。これによりTiClガスとHガスとが活性化されて反応し、ウエハWの表面にTi(チタン)の層が成膜される。
このようにTiClガスの吸着、Arガス及びHガスの供給と共に反応ガスのプラズマ化を順番に複数回繰り返す。これにより上記のTi層の形成が繰り返し行われて、所望の膜厚を有するTi膜が形成される。


真空処理装置は、図1に示すように真空処理装置内におけるウエハWの搬送、真空搬送アーム5におけるウエハ保持部500の切り替え機構の駆動、処理モジュール6における成膜処理のプロセス、ロードロック室3A、3Bにおける雰囲気の切り替えを制御する制御部100を備えている。制御部100は例えば図示しないCPUと記憶部とを備えたコンピュータからなり、この記憶部には処理モジュール6における成膜処理のレシピや、当該真空処理装置において、常圧搬送アーム20及び真空搬送アーム5によるウエハWの搬送行うためのステップ(命令)群が組まれたプログラムが記録されている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリカードなどの記憶媒体に格納され、そこからコンピュータにインストールされる。
続いて真空処理装置の作用について説明する。図10に示すようにウエハWを収容したキャリアCが搬入出ポート1上に載置されると、当該キャリアC内のウエハWが、常圧搬送アーム20によって取り出され、ロードロック室3A、3Bのウエハ載置棚300に受け渡される。そしてロードロック室3A、3Bの各ウエハ載置棚300にウエハWを載置した後、常圧搬送アーム20を常圧搬送室2に退避させ、ゲートバルブ32を閉じ、ロードロック室3A、3B内の雰囲気を真空雰囲気に切り替える。
続いて、図11に示すように例えばロードロック室3Aと真空搬送室9との間のゲートバルブ34を開き、真空搬送アーム5のウエハ保持部500をウエハWを段積みで支持する形態に切り替えてロードロック室3Aに進入させる。この時図12に示すように第2のアーム54がロードロック室3Aにおける下段側の2枚のウエハWの下方に進入し、第1のアーム53が上段側の2枚のウエハWの下方に進入する。そして図13に示すように真空搬送アーム5を上昇させると、第1及び第2のアーム53、54は、ロードロック室3A内の4枚のウエハWを一括して掬い上げる。
次いで図14に示すように真空搬送アーム5をロードロック室3Aから真空搬送室9に退避させ、ゲートバルブ34を閉じる。さらに真空搬送アーム5の回動体55を回動させてウエハWを平置きで支持する形態に切り替える(第2の回動動作を実行する)。
そして図15に示すように例えば真空処理装置の手前側から見て、真空搬送室9の右側の処理モジュール6のゲートバルブGを開き、ウエハWを平置きで支持する形態でウエハ保持部500を処理モジュール6内に進入させる。
この時ウエハ保持部500を処理モジュール6に進入させたときに真空搬送アーム5に支持されている4枚のウエハWは、夫々処理モジュール6の4台の載置台67A、67Bの上方に位置する。そして、各載置台67A、67Bに設けられた昇降ピン75を上昇させて、昇降ピン75により真空搬送アーム5に支持されているウエハWを夫々突き上げて受け取る。さらに真空搬送アーム5を真空搬送室9に退避させ、昇降ピンを下降させ、各載置台67A、67Bにウエハを載置する。このように4枚のウエハWは、夫々対応する載置台67A、67Bに一括して受け渡される。
同様に真空処理装置の手前側から見て真空搬送室9の左側に設けられた処理モジュール6にロードロック室3B内に搬送されたウエハWが真空搬送アーム5によって一括して受け渡される。その後各処理モジュール6にて、ウエハWに既述の成膜処理が行われる。
各処理モジュール6で成膜処理を終えると、図16に示すように各載置台67A、67BのウエハWを昇降ピン75で突き上げた状態とし、例えば手前側から見て右側の処理モジュール6におけるゲートバルブGを開く。そしてウエハ保持部500をウエハWを平置きで支持する形態で処理モジュール6に進入させる。この時第1のアーム53及び第2のアーム54は、夫々対応するウエハWの下方に第1の基板支持領域501、第2の基板支持領域502を揃える位置に進入しており、昇降ピン75を下降させることで4枚のウエハWが一括して第1のアーム53、第2のアーム54に受け渡される。なお図16~18では、処理済みのウエハWにハッチングを付して示している。
次いで図17に示すようにウエハ保持部500を真空搬送室9に退避させ、さらにウエハ保持部500をウエハWを段積みで支持する形態に切り替える。その後、図18に示すようにロードロック室3Aの真空搬送室9側のゲートバルブ34を開き、真空搬送アーム5をウエハWを段積みで支持する形態でロードロック室3Aに進入させる。この時図19示すように第1のアーム53及び第2のアーム54の先端側に支持されているウエハWが夫々ウエハ載置棚300Bの上段側及び下段側のウエハWを保持する爪部36の上方に位置する。また第1のアーム53及び第2のアーム54の基端側に支持されているウエハWが夫々ウエハ載置棚300Aの上段側及び下段側のウエハWを保持する爪部36の上方に位置する。さらに図20に示すように真空搬送アーム5を下降させることにより、第1のアーム53及び第2のアームに保持されたウエハWがウエハ載置棚300A、300Bに受け渡される。
その後真空搬送アーム5を真空搬送室9に退避させ、ロードロック室3Aにおいては、ゲートバルブ34を閉じた後、常圧雰囲気に切り替える。さらに常圧搬送室2側のゲートバルブ32を開き、常圧搬送アーム20により、ウエハ載置棚300A、300Bに支持された4枚の処理済みウエハWをキャリアCに戻す。
さらに真空搬送アーム5は、真空搬送装置の手前側から見て真空搬送室9の左側の処理モジュール6から処理済みのウエハWを取り出し、同様にロードロック室3Bに搬送する。その後常圧搬送アーム20により、ロードロック室3Bから処理済みのウエハWを取り出し、キャリアCに搬送する。
ところで真空処理装置においては、装置のスループットを向上させるために処理モジュールを基板を各々保持する載置台を水平に複数、例えば実施の形態に示したように載置台67A、67Bを2×2の行列状に4台設けた構成とし、各々の載置台67A、67BでウエハWの処理をする装置が知られている。このような装置においては装置のスループットをさらに向上させるため、処理モジュールに基板を搬送する真空搬送機構を、従来は2×2の行列状に配置された4枚の基板を平置きで支持し、一括して受け渡しを行う装置としていた。
しかしながら従来型の4枚の基板を平置きで支持する真空搬送機構の場合には、処理モジュールに搬入する基板を真空搬送機構に受け渡すためのモジュール、例えば真空搬送機構が設けられる真空搬送室9に接続されるロードロックモジュール側においても同様に4枚の基板を2×2の行列状に平置きで配置し、基板を真空搬送機構に一括して受け渡すようにする必要がある。そのためロードロックモジュール側に水平に4枚の基板を載置する領域を確保する必要があり装置の設置面積が大型化してしまう問題がある。
またロードロックモジュール側において、水平に並ぶ基板の枚数を少なくすることで、例えば基板を2枚×2段の段積みとすることで装置の設置面積の大型化を避けることができる。しかしながらこの場合には、2×2の行列状に載置台が設けられた処理モジュールに基板を搬送するにあたって真空搬送装置を水平に2枚の基板を支持する構成として基板を2枚ごと2回アクセスさせる必要がある。あるいは真空搬送機構を2枚×2段の段積み保持する機構を採用し、基板を2段の段積みで受け取り、処理モジュール側に新たに段積みで支持された基板を平積みで支持するように展開する移載機構を設け、基板を平積みで支持するように展開する工程が必要になるなどスループットが低下する問題がある。
また処理モジュール内に移載機構を設けることでパーティクル発生の要因ともなるおそれがある。
上述の実施の形態によれば、真空搬送アーム5において回動体55に鉛直軸方向に伸びる第1の回動軸53A及び第2の回動軸54Aを互いに離間するように設けている。さらに第1のアーム53を第1の回動軸53Aから左側に向かって伸び、先端側が前方に屈曲するように設け、第2のアーム54を第2の回動軸54Aから右側に伸び、先端側が前方に屈曲するように、第1のアーム53と異なる高さに設けている。
そして第1のアーム53の先端側と、第2のアーム54の先端側とに夫々、互いに異なるウエハWを第1のアーム53、第2のアーム54の長さ方向に2枚保持する第1の基板支持領域501と第2の基板支持領域502とを設けている。また回動体55に第3の回動軸55Aを設け、第1の基板支持領域501と第2の基板支持領域502との左右の距離を変更するように回動体55を回動させる第1の回動動作と、第1の基板支持領域501と第2の基板支持領域502との互いの距離を変化させずに回動体55を回動させる第2の回動動作と、を切り替えることができるように構成している。
そのためウエハWを左右に離間し水平に2×2の行列状に並べて支持する平置きの状態と、ウエハWを上下に2枚×2段に配置して支持できる段積みの状態で切り替えることができる。このような真空搬送アーム5を真空処理装置に適用することで、処理モジュール6及びロードロック室3A、3Bのレイアウトを広く選択することができる。
従って処理モジュール6に2×2の行列状に並ぶ複数の載置台67A、67Bを設け、ロードロック室3A、3BをウエハWを2枚×2段の段積みで保持する構成とした場合においても、真空搬送アーム5により、複数の載置台67A、67B及びウエハWを段積みで保持するロードロック室3A、3Bのいずれに対してもウエハWを一括で受け渡すことができる。そのため装置の大型化を抑制しながら、スループットを改善することができる。
また真空搬送アーム5は、ウエハWを支持するアームを3本備えていてもよい。例えば図21、図22に示すように例えば第3の回動軸55Aと重なるように第4の回動軸56Aを設け、第4の回動軸56Aから先端側が前方に伸び、第1のアーム53と、第2のアーム54と、の間の高さ位置に位置する第3のアーム56を設ける。そして第3のアーム56の先端側に第1の基板支持領域501及び第2の基板支持領域502の各々で保持するウエハWと異なるウエハWを保持する第3の基板支持領域503を設けている。さらに第3のアーム56も回動体55の回動角度によらず常に前方に向かって伸びる姿勢を維持する。従って第1のアーム53の先端側、第2のアーム54の先端側及び第3のアーム56は、常に平行となっている。
このような構成の真空搬送アーム5において、図23Aに示すように回動体55を第1の回動軸53Aが左側、第2の回動軸54Aを右側に移動するように回動させることで第1の基板支持領域501、第2の基板支持領域502及び第3の基板支持領域503が左右方向に離れるように配置され、6枚のウエハWを平置きで支持する形態となる。さらに図23Aの状態から回動体55を上方から見て反時計回りに回動すると、図23B、図23Cに示すように第1のアーム53の先端側、第2のアーム54の先端側及び第3のアーム56が互いに平行を維持したまま、互いの距離を徐々に短くする。そして図23Aの状態から回動体55を上方から見て反時計回りに180°回動させると図23Dに示すように第1の基板支持領域501、第2の基板支持領域502及び第3の基板支持領域503の水平方向の位置が互いに揃い、上下方向にウエハを3段の段積みで支持する形態とすることができる。
このような真空搬送アーム5を用いることで処理モジュール6に設ける載置台67A、67Bを搬送口側から見て3列×2行の行列状に配置し、ロードロック室3A、3Bを3段の構成とすることができる。
さらに図24A、24Bに真空搬送アーム5の更に他の例を示す。この真空搬送アーム5のウエハ保持部500は、回動体55に互いに離間するように設けられた鉛直方向に伸びる第1の回動軸53Aと、第2の回動軸54Aと、を備えている。第1のアーム53は、第1の回動軸53Aから左側前方に伸びだし、先端側が屈曲して前方に伸びている。また第1のアーム53の第1の回動軸53Aから前方に向かって屈曲する部位までの距離は、第1の回動軸53Aと、第2の回動軸54Aと、の離間距離と同じ距離に設定されている。さらに第2のアーム54は第2の回動軸54Aから前方に向かって伸びるように構成されている。そして第1のアーム53及び第2のアーム54には夫々ウエハを保持する第1の基板支持領域501及び第2の基板支持領域502が設けられている。
このような真空搬送アーム5において、図24Aに示すように第1の回動軸53Aを通り前後方向に伸びる軸線L´と第1のアームの基端側の部位が伸びる方向のなす角度θ1と、軸線L´と、回動体55の伸びる方向がなす角度θ2とが同じ角度となるように回動体55を回動させることにより、第1の基板支持領域501と、第2の基板支持領域502と、が左右に離間しウエハWを平置きで支持する形態とすることができる。
さらに回動体55を図24Aの状態から反時計回り方向に回動させ、図24Bに示すように回動体55を第1のアーム53の下方に揃えることで、第2のアーム54も第1のアーム53の下方に揃う位置に移動する。この時第1の基板支持領域501と、第2の基板支持領域502と、の位置が揃い、ウエハWを段積みで支持する形態とすることができる。このような構成とした場合にも回動体55を回動させることで、真空搬送アーム5のウエハ保持部500をウエハWを平置きで保持する状態と、ウエハを段積みで保持する状態とで切り替えることができるため同様の効果を得ることができる。
さらに支持体に第2の回動動作において、平行される第1のアーム53と第2のアーム54と間の第2の距離は、第1の基板支持領域と第2の基板支持領域とが上下に重なることは必須ではなく、第2の回動動作を行った時に第1の基板支持領域と第2の基板支持領域との左右の距離が、第1の距離で平行に並ぶ状態と、第1の距離よりも狭い第2の距離で平行に並ぶ状態との間で切り替えられれば良い。
さらには第1の基板支持領域、第2の基板支持領域及び第3の基板支持領域にて支持される基板の枚数は夫々2枚とは限らず、1枚あるいは、3枚以上であってもよい。また基板搬送機構は、ロードロック室と、処理モジュールと、の間で基板を受け渡すことは必須ではなく。例えば真空搬送室9に複数の基板を仮置きするための仮置き部を接続し、仮置き部と、処理モジュールとの間で基板を受け渡す基板搬送機構に適用してもよい。
以上に検討したように、今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
2 常圧搬送室
3A,3B ロードロック室
6 処理モジュール
5 真空搬送アーム
53 第1のアーム
53A 第1の回動軸
54 第2のアーム
54A 第2の回動軸
55 回動体
55A 第3の回動軸
56 第3のアーム
56A 第4の回動軸
500 ウエハ保持部
501 第1の基板支持領域
502 第2の基板支持領域
503 第3の基板支持領域
W ウエハ

Claims (8)

  1. 横方向に移動する移動体と、
    前記移動体に支持される支持体と、
    前記支持体に互いに離れて設けられる各々縦方向の第1の回動軸及び第2の回動軸と、
    前記第1の回動軸から前方に向けて伸び、その先端側が前記支持体の外側で基板を支持する第1の基板支持領域をなす第1のアームと、
    前記第2の回動軸から前方に向けて伸び、その先端側が前記支持体の外側で前記第1のアームに支持される基板とは別の基板を支持する第2の基板支持領域をなすように、第1のアームとは異なる高さに設けられる第2のアームと、
    前記移動体に対して前記支持体を回動させるための縦方向の第3の回動軸と、
    前記第1の基板支持領域と第2の基板支持領域との左右の距離が保たれるように前記支持体を回動させる第1の回動動作と、前記左右の距離が第1の距離と当該第1の距離よりも狭い第2の距離との間で変更されるように前記第1の回動軸及び第2の回動軸のうちの少なくとも一方の回動と共に前記支持体を回動させる第2の回動動作と、を切り替えて行う切り替え機構と、を備え
    前記第3の回動軸は、左右方向において前記第1の回動軸と、前記第2の回動軸との間に設けられ、
    前記切り替え機構は前記第2の回動動作において、前記第1の回動軸及び第2の回動軸を回動させ、
    基端側が前記第1の回動軸から左右の一方に向かって伸び、先端側が前方へ向かって伸びるように前記第1のアームは屈曲し、
    基端側が前記第2の回動軸から左右の他方に向かって伸び、先端側が前方へ向かって伸びるように前記第2のアームは屈曲する基板搬送機構。
  2. 前記左右の距離が第2の距離であるときに、前記第1の基板支持領域と前記第2の基板支持領域とが互いに重なる請求項1記載の基板搬送機構。
  3. 前記第1の基板支持領域及び前記第2の基板支持領域は、複数の前記基板を前記第1のアームの先端側、前記第2のアームの先端側の長さ方向に沿って各々支持する領域である請求項1または2記載の基板搬送機構。
  4. 前記第3の回動軸に重なる縦方向の第4の回動軸と、
    当該第4の回動軸から前方に伸び出し、その先端側が前記支持体の外側において前記第1のアーム、第2のアームに各々支持される基板とは別の基板を支持する第3の基板支持領域をなす第3のアームと、
    前記第1の基板支持領域と第2の基板支持領域との左右の距離が、前記第1の距離であるときと第2の距離であるときとで前記第3のアームの向きが揃うように前記第3のアームが回動する請求項1ないし3のいずれか一つに記載の基板搬送機構。
  5. 前記支持体及び移動体は、多関節アームを構成する請求項1ないし4のいずれか一つに記載の基板搬送機構。
  6. 真空雰囲気の搬送室と、
    前記搬送室に接続され、真空雰囲気で前記基板に処理を行う処理モジュールと、
    前記搬送室に接続されるロードロックモジュールと
    前記ロードロックモジュールと前記処理モジュールとの間で前記基板を搬送するために前記搬送室に設けられる請求項1ないしのいずれか一つに記載の基板搬送機構と、
    前記基板を格納した搬送容器が載置され、当該搬送容器と前記ロードロックモジュールとの間で前記基板を搬送するローダーモジュールと、
    を備える基板処理装置。
  7. 前記左右の距離について、
    少なくとも前記処理モジュールに前記基板を受け渡すときには前記第1の距離とされる請求項記載の基板処理装置。
  8. 移動体を横方向に移動する工程と、
    前記移動体に支持される支持体に互いに離れて設けられる縦方向の第1の回動軸及び第2の回動軸を各々回動させる工程と、
    前記第1の回動軸から前方に伸び、その先端側が前記支持体の外側で第1の基板支持領域をなす第1のアームに基板を支持する工程と、
    前記第2の回動軸から前方に伸び、その先端側が前記支持体の外側で第2の基板支持領域をなすと共に前記第1のアームとは異なる高さに設けられる第2のアームに、前記第1のアームに支持される基板とは別の基板を支持する工程と、
    縦方向の第3の回動軸まわりに前記移動体に対して前記支持体を回動させる工程と、
    前記第1の基板支持領域と第2の基板支持領域との左右の距離が保たれるように前記支持体を回動させる第1の回動動作を行う工程と、
    前記左右の距離が第1の距離と当該第1の距離よりも狭い第2の距離との間で変更されるように前記第1の回動軸及び第2の回動軸のうちの少なくとも一方の回動と共に前記支持体を回動させる第2の回動動作行う工程と、
    切り替え機構により前記第1の回動動作と、前記第2の回動動作とを切り替える工程と、
    を備え、
    前記第2の回動動作を行う工程は、前記切り替え機構により前記第1の回動軸及び第2の回動軸を回動させる工程を含み、
    前記第3の回動軸は、左右方向において前記第1の回動軸と、前記第2の回動軸との間に設けられ、
    基端側が前記第1の回動軸から左右の一方に向かって伸び、先端側が前方へ向かって伸びるように前記第1のアームは屈曲し、
    基端側が前記第2の回動軸から左右の他方に向かって伸び、先端側が前方へ向かって伸びるように前記第2のアームは屈曲する基板搬送方法。
JP2018163103A 2018-08-31 2018-08-31 基板搬送機構、基板処理装置及び基板搬送方法 Active JP7183635B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2018163103A JP7183635B2 (ja) 2018-08-31 2018-08-31 基板搬送機構、基板処理装置及び基板搬送方法
US16/548,652 US10872798B2 (en) 2018-08-31 2019-08-22 Substrate transfer mechanism, substrate processing apparatus, and substrate transfer method
KR1020190106633A KR102244352B1 (ko) 2018-08-31 2019-08-29 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018163103A JP7183635B2 (ja) 2018-08-31 2018-08-31 基板搬送機構、基板処理装置及び基板搬送方法

Publications (3)

Publication Number Publication Date
JP2020035954A JP2020035954A (ja) 2020-03-05
JP2020035954A5 JP2020035954A5 (ja) 2021-07-26
JP7183635B2 true JP7183635B2 (ja) 2022-12-06

Family

ID=69639975

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018163103A Active JP7183635B2 (ja) 2018-08-31 2018-08-31 基板搬送機構、基板処理装置及び基板搬送方法

Country Status (3)

Country Link
US (1) US10872798B2 (ja)
JP (1) JP7183635B2 (ja)
KR (1) KR102244352B1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7225613B2 (ja) * 2018-09-03 2023-02-21 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
KR20210119185A (ko) * 2020-03-24 2021-10-05 주식회사 원익아이피에스 이송로봇 및 이를 포함하는 기판처리시스템
KR102585551B1 (ko) * 2021-09-16 2023-10-06 주식회사 나인벨 기판 교체시간이 단축된 반도체 기판 처리장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235538A (ja) 2003-01-31 2004-08-19 Tokyo Electron Ltd 搬送装置,真空処理装置およびoリング
JP2009164213A (ja) 2007-12-28 2009-07-23 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US20170040204A1 (en) 2015-08-07 2017-02-09 Asm Ip Holdings B.V. Substrate processing apparatus
JP2018032797A (ja) 2016-08-25 2018-03-01 ローツェ株式会社 搬送装置

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0825151B2 (ja) * 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
JPH11188671A (ja) * 1997-12-26 1999-07-13 Daihen Corp 2アーム方式の搬送用ロボット装置
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
JPH11300663A (ja) * 1998-04-24 1999-11-02 Mecs Corp 薄型基板搬送装置
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
US7578649B2 (en) * 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
US9691651B2 (en) * 2005-01-28 2017-06-27 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
WO2005123565A2 (en) * 2004-06-09 2005-12-29 Brooks Automation, Inc. Dual sacra arm
JP4680657B2 (ja) 2005-04-08 2011-05-11 株式会社アルバック 基板搬送システム
KR100935537B1 (ko) * 2006-11-01 2010-01-07 주식회사 아이피에스 웨이퍼이송로봇, 이를 이용한 웨이퍼가공시스템 및웨이퍼처리방법
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US8752449B2 (en) * 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
CN101768731B (zh) 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
CN102326244B (zh) * 2009-01-11 2014-12-17 应用材料公司 用于在电子器件制造中传输基板的机械手系统、装置及方法
JP5395271B2 (ja) * 2010-08-17 2014-01-22 キヤノンアネルバ株式会社 基板搬送装置、電子デバイスの製造システムおよび電子デバイスの製造方法
JP5610952B2 (ja) * 2010-09-24 2014-10-22 日本電産サンキョー株式会社 産業用ロボット
US20140348622A1 (en) * 2011-12-15 2014-11-27 Tazmo Co., Ltd. Wafer transport apparatus
TW201347936A (zh) * 2012-01-13 2013-12-01 Novellus Systems Inc 雙臂真空機器人
CN104428884B (zh) * 2012-07-05 2017-10-24 应用材料公司 吊杆驱动装置、多臂机械手装置、电子器件处理系统及用于在电子器件制造系统中传送基板的方法
JP5990359B2 (ja) 2012-10-04 2016-09-14 平田機工株式会社 搬入出ロボット
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
US10224232B2 (en) * 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
US9149936B2 (en) * 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
KR102214394B1 (ko) * 2013-03-15 2021-02-08 어플라이드 머티어리얼스, 인코포레이티드 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
KR102402324B1 (ko) * 2014-01-28 2022-05-26 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
KR101866625B1 (ko) * 2014-09-03 2018-06-11 가부시키가이샤 알박 반송 장치 및 진공 장치
US10269613B2 (en) * 2014-10-10 2019-04-23 Kawasaki Jukogyo Kabushiki Kaisha Substrate conveying robot and method of operating the same
US20170125269A1 (en) * 2015-10-29 2017-05-04 Aixtron Se Transfer module for a multi-module apparatus
TWI707754B (zh) * 2016-06-28 2020-10-21 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之方法
JP6403722B2 (ja) * 2016-07-21 2018-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
CN110505945B (zh) * 2017-02-15 2024-01-19 柿子技术公司 具有多个末端执行器的物料操纵机器人
CN108933097B (zh) * 2017-05-23 2023-06-23 东京毅力科创株式会社 真空输送组件和基片处理装置
JP6951923B2 (ja) * 2017-09-27 2021-10-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体
CN109994358B (zh) * 2017-12-29 2021-04-27 中微半导体设备(上海)股份有限公司 一种等离子处理系统和等离子处理系统的运行方法
JP6653722B2 (ja) * 2018-03-14 2020-02-26 株式会社Kokusai Electric 基板処理装置
JP7090469B2 (ja) * 2018-05-15 2022-06-24 東京エレクトロン株式会社 基板処理装置
US10943805B2 (en) * 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
JP7177332B2 (ja) * 2018-07-03 2022-11-24 シンフォニアテクノロジー株式会社 搬送装置
JP7225613B2 (ja) * 2018-09-03 2023-02-21 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
US11192239B2 (en) * 2018-10-05 2021-12-07 Brooks Automation, Inc. Substrate processing apparatus
JP7254924B2 (ja) * 2018-11-19 2023-04-10 マトソン テクノロジー インコーポレイテッド ワークピースを処理するためのシステムおよび方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235538A (ja) 2003-01-31 2004-08-19 Tokyo Electron Ltd 搬送装置,真空処理装置およびoリング
JP2009164213A (ja) 2007-12-28 2009-07-23 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US20170040204A1 (en) 2015-08-07 2017-02-09 Asm Ip Holdings B.V. Substrate processing apparatus
JP2018032797A (ja) 2016-08-25 2018-03-01 ローツェ株式会社 搬送装置

Also Published As

Publication number Publication date
US10872798B2 (en) 2020-12-22
KR102244352B1 (ko) 2021-04-23
KR20200026138A (ko) 2020-03-10
US20200075376A1 (en) 2020-03-05
JP2020035954A (ja) 2020-03-05

Similar Documents

Publication Publication Date Title
JP7183635B2 (ja) 基板搬送機構、基板処理装置及び基板搬送方法
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
TWI820022B (zh) 真空處理裝置
JP4642619B2 (ja) 基板処理システム及び方法
KR20170017538A (ko) 기판 처리 장치
KR102244354B1 (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
WO2018016257A1 (ja) 基板処理装置
JP2018174186A (ja) 基板処理装置
JPH0846013A (ja) マルチチャンバ処理システム用搬送装置
KR20200074934A (ko) 진공 반송 모듈 및 기판 처리 장치
KR102491212B1 (ko) 진공 처리 장치 및 기판 반송 방법
JP2004288719A (ja) 基板搬送装置及び基板処理装置
KR101336420B1 (ko) 진공 처리 장치
JP2017199735A (ja) 基板の入れ替えシステム、基板の入れ替え方法及び記憶媒体
JP2018174210A (ja) 処理システム
JP3121022B2 (ja) 減圧処理装置
KR20210119185A (ko) 이송로봇 및 이를 포함하는 기판처리시스템
KR20050061912A (ko) 기판을 직선 궤적으로 반송하는 로봇을 포함하는 클러스터

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210524

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210524

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220629

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221025

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221107

R150 Certificate of patent or registration of utility model

Ref document number: 7183635

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150