KR20200026138A - 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법 - Google Patents

기판 반송 기구, 기판 처리 장치 및 기판 반송 방법 Download PDF

Info

Publication number
KR20200026138A
KR20200026138A KR1020190106633A KR20190106633A KR20200026138A KR 20200026138 A KR20200026138 A KR 20200026138A KR 1020190106633 A KR1020190106633 A KR 1020190106633A KR 20190106633 A KR20190106633 A KR 20190106633A KR 20200026138 A KR20200026138 A KR 20200026138A
Authority
KR
South Korea
Prior art keywords
substrate
arm
support
distance
wafer
Prior art date
Application number
KR1020190106633A
Other languages
English (en)
Other versions
KR102244352B1 (ko
Inventor
다카미 후카사와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200026138A publication Critical patent/KR20200026138A/ko
Application granted granted Critical
Publication of KR102244352B1 publication Critical patent/KR102244352B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

기판 반송 기구에 의해 복수의 기판을 반송할 때, 기판을 단 적재, 및 기판을 수평 배치 각각으로, 기판의 주고받음을 실행하는 것이다. 이동체에 지지되는 지지체에 종방향으로 연장되는 제 1 회동축 및 제 2 회동축을 서로 이격하도록 마련하고 있다. 게다가, 제 1 아암을 제 1 회동축으로부터 전방을 향해 연장시켜서 선단측이 제 1 기판 지지 영역이 되도록 마련하고, 제 2 아암을 제 2 회동축으로부터 전방을 향해 연장시켜서 선단측이 제 1 기판 지지 영역과 다른 기판을 지지하는 제 2 기판 지지 영역이 되도록, 제 1 아암과 다른 높이에 마련하고 있다. 그리고, 제 1 기판 지지 영역과 제 2 기판 지지 영역의 좌우의 거리가 제 1 거리로 유지되도록 지지체를 회동시키는 제 1 회동 동작과, 제 1 기판 지지 영역과 제 2 기판 지지 영역의 거리가 제 1 거리보다 좁아지도록 지지체를 회동시키는 제 2 회동 동작을 전환할 수 있도록 구성하고 있다.

Description

기판 반송 기구, 기판 처리 장치 및 기판 반송 방법{SUBSTRATE TRANSFER MECHANISM, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE TRANSFER METHOD}
본 개시는 기판을 반송하는 기술에 관한 것이다.
반도체 디바이스의 제조 공정에 있어서의 기판 처리를 높은 스루풋(throughput)으로 실행하는 장치로서, 처리 용기 내에 수평 방향으로 나열되는 복수의 기판 처리부를 마련하고, 각 기판 처리부에 각각 기판인 반도체 웨이퍼(이하, 「웨이퍼」라고 함)를 반송하여, 한 번에 복수 매의 웨이퍼를 처리하는 기판 처리 장치가 알려져 있다. 이러한 기판 처리 장치는, 예를 들면, 피처리 대상의 웨이퍼가 복수 매 스톡(stock)되는 기판 탑재부를 구비하고, 기판 반송 장치에 의해 기판 탑재부로부터 기판이 취출되어, 각 기판 처리부에 각각 기판이 반송된다.
예를 들어, 특허문헌 1에는, 수평으로 2×2 행렬 형상으로 나열되어 배치된 4대의 스테이지에 웨이퍼를 주고 받는 기판 반송 로봇에 있어서, 4매의 웨이퍼를 수평으로 2×2 행렬 형상으로 나열하여 반송할 수 있는 기판 반송 로봇이 기재되어 있다.
또한, 특허문헌 2에는, 1매의 기판을 각각 보지하는 복수의 핸들링 아암을 구비한 트랜스퍼 로봇이 기재되어 있다. 그리고, 복수의 기판을 수용하는 프로세스 모듈에 대해서 기판을 주고 받을 때, 기판을 보지한 복수의 핸들링 아암을 좌우 방향으로 열고, 기판을 좌우 방향으로 이격시킨 상태에서 지지하여 프로세스 모듈에 대해서 복수의 기판을 일괄하여 주고 받는 기술이 기재되어 있다.
일본 공개 특허 제 2006-294786 호 공보 일본 공개 특허 제 2010-157736 호 공보
본 개시는 이러한 사정하에 이루어진 것이며, 기판 반송 기구에 의해 복수의 기판을 반송할 때, 상이한 레이아웃으로 복수의 기판을 각각 탑재하는 복수의 모듈에 대해서 기판을 주고 받는 기술을 제공하는 것이다.
본 개시의 기판 반송 기구는, 횡방향으로 이동하는 이동체와,
상기 이동체에 지지되는 지지체와,
상기 지지체에 서로 떨어져서 마련되는 각각 종방향의 제 1 회동축 및 제 2 회동축과,
상기 제 1 회동축으로부터 전방을 향해 연장되고, 그 선단측이 상기 지지체의 외측에서 기판을 지지하는 제 1 기판 지지 영역을 이루는 제 1 아암과,
상기 제 2 회동축으로부터 전방을 향해 연장되고, 그 선단측이 상기 지지체의 외측에서 상기 제 1 아암에 지지되는 기판과는 별개의 기판을 지지하는 제 2 기판 지지 영역을 이루도록, 제 1 아암과는 다른 높이에 마련되는 제 2 아암과,
상기 이동체에 대해서 상기 지지체를 회동시키기 위한 종방향의 제 3 회동축과,
상기 제 1 기판 지지 영역과 제 2 기판 지지 영역의 좌우의 거리가 유지되도록 상기 지지체를 회동시키는 제 1 회동 동작과, 상기 좌우의 거리가 제 1 거리와 해당 제 1 거리보다 좁은 제 2 거리 사이에서 변경되도록 상기 제 1 회동축 및 제 2 회동축 중 적어도 일방의 회동과 함께 상기 지지체를 회동시키는 제 2 회동 동작을 전환하여 실행하는 전환 기구를 구비한다.
본 개시에 의하면, 기판 반송 기구에 의해 복수의 기판을 반송할 때, 상이한 레이아웃으로 복수의 기판을 각각 탑재하는 복수의 모듈에 대해서 기판을 주고 받을 수 있다.
도 1은 본 실시형태에 따른 진공 처리 장치를 도시하는 평면도,
도 2는 로드록(load lock)실의 사시도,
도 3은 웨이퍼를 수평 배치로 지지하는 형태의 진공 반송 아암을 도시하는 사시도,
도 4는 웨이퍼를 단 적재로 지지하는 형태의 진공 반송 아암을 도시하는 사시도,
도 5a는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 5b는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 5c는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 5d는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 6은 웨이퍼 보지부의 측단면도,
도 7은 웨이퍼 보지부의 종단 정면도,
도 8은 처리 모듈을 도시하는 단면도,
도 9는 웨이퍼 보지부와 탑재대의 웨이퍼의 주고받음을 도시하는 평면도,
도 10은 본 실시형태에 따른 진공 반송 장치의 작용을 도시하는 설명도,
도 11은 본 실시형태에 따른 진공 반송 장치의 작용을 도시하는 설명도,
도 12는 로드록실에 있어서의 웨이퍼의 주고받음을 설명하는 설명도,
도 13은 로드록실에 있어서의 웨이퍼의 주고받음을 설명하는 설명도,
도 14는 본 실시형태에 따른 진공 반송 장치의 작용을 도시하는 설명도,
도 15는 본 실시형태에 따른 진공 반송 장치의 작용을 도시하는 설명도,
도 16은 본 실시형태에 따른 진공 반송 장치의 작용을 도시하는 설명도,
도 17은 본 실시형태에 따른 진공 반송 장치의 작용을 도시하는 설명도,
도 18은 본 실시형태에 따른 진공 반송 장치의 작용을 도시하는 설명도,
도 19는 로드록실에 있어서의 웨이퍼의 주고받음을 설명하는 설명도,
도 20은 로드록실에 있어서의 웨이퍼의 주고받음을 설명하는 설명도,
도 21은 진공 반송 아암의 다른 예에 있어서의 웨이퍼를 수평 배치로 지지하는 형태를 도시하는 사시도,
도 22는 진공 반송 아암의 다른 예에 있어서의 웨이퍼를 단 적재로 지지하는 형태를 도시하는 사시도,
도 23a는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 23b는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 23c는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 23d는 웨이퍼 보지부의 회동 동작을 도시하는 설명도,
도 24a는 진공 반송 아암의 또 다른 예에 있어서의 웨이퍼를 수평 배치로 지지하는 형태를 도시하는 평면도,
도 24b는 진공 반송 아암의 또 다른 예에 있어서의 웨이퍼를 단 적재로 지지하는 형태를 도시하는 평면도.
본 실시형태에 따른 기판 처리 장치의 일례인 진공 처리 장치에 대해 설명한다. 도 1, 도 2에 도시되는 바와 같이, 이 진공 처리 장치는, 그 내부 분위기가 건조 가스, 예를 들면, 건조한 공기 또는 질소 가스에 의해 상압(常壓) 분위기(공기의 경우에는 대기 분위기라고도 할 수도 있음)가 되는 직사각형의 상압 반송실(2)을 구비하고 있다. 상압 반송실(2)에는, 웨이퍼(W)의 반송 용기인 캐리어(C)를 탑재하기 위한 반입출 포트(1)가 좌우 방향으로 5개 나열되어 설치되어 있다. 반입출 포트(1)측을 앞쪽, 상압 반송실(2)측을 안쪽으로 하면, 상압 반송실(2)의 정면 벽에는, 상기 캐리어(C)의 덮개와 함께 개폐되는 도어(12)가 장착되어 있다. 상압 반송실(2) 내에는, 웨이퍼(W)를 반송하기 위한 관절 아암으로 구성된 상압 반송 기구인 상압 반송 아암(20)이 마련되어 있다. 상압 반송 아암(20)은, 도시하지 않은 이동 기구를 구비하고, 이동 기구에 의해 상압 반송실(2)의 길이 방향을 향해 연장되는 가이드 레일(21)을 따라 이동할 수 있도록 구성되어 있다. 상압 반송실(2), 상압 반송 아암(20), 반입출 포트(1)는, 로더 모듈에 상당한다.
도 1에 도시되는 바와 같이 상압 반송실(2)을 앞쪽에서 바라볼 때 안쪽의 벽의 오른쪽 근처 및 왼쪽 근처의 위치에는, 각각 로드록 모듈인 로드록실(3A, 3B)이 마련되어 있다. 로드록실(3A, 3B)은, 반입출 포트(1)로부터 안쪽을 바라볼 때, 진공 반송실(9)의 횡방향의 중심을 통과하는 중심축에 대해 서로 거울상 대칭으로 구성되어 있다.
로드록실(3A, 3B)은 거울상 대칭이므로, 여기에서는 우측의 로드록실(3A)에 대해 설명한다. 도 1, 도 2에 도시되는 바와 같이 로드록실(3A)은, 형상이 진공 처리 장치의 좌우 방향으로 연장되는 직사각형의 진공 용기(30)를 구비하고 있다. 진공 용기(30)에 있어서의 상압 반송실(2)측의 측면에는 반송구(31)가 형성되고, 반송구(31)에는 게이트 밸브(32)가 마련되어 있다. 또한, 로드록실(3A)의 반송구(31)로부터 로드록실(3A) 내를 바라볼 때, 좌측의 면에는 웨이퍼(W)의 반송구(33)가 형성되고, 반송구(33)는, 반송구(33)를 개폐하는 게이트 밸브(34)를 거쳐서, 공통의 진공 반송실(9)이 접속되어 있다.
도 2에 도시되는 바와 같이, 로드록실(3A)의 내부에는 반송구(33)측에서 바라볼 때, 앞쪽과 안쪽에 이 순서로 나열되도록, 웨이퍼(W)를 수평한 자세로 탑재하는 웨이퍼 탑재 선반(300A, 300B)이 마련되어 있다. 웨이퍼 탑재 선반(300A, 300B)은 3개의 지주(35)와, 각 지주(35)로부터 수평으로 연장되는 클로부(claw)(36)를 구비하고 있고, 이 클로부(36)에 웨이퍼(W)의 주연부를 지지시키는 것에 의해, 웨이퍼(W)를 수평한 자세로 보지할 수 있다.
클로부(36)는 각 지주(35)의 상이한 2개의 높이 위치에 마련되어 있고, 각 웨이퍼 탑재 선반(300A, 300B)은 각각 웨이퍼(W)를 2단으로 보지할 수 있다. 이 때 웨이퍼 탑재 선반(300A)의 상단측의 웨이퍼(W)의 보지하는 높이 위치와, 웨이퍼 탑재 선반(300B)의 상단측의 웨이퍼(W)의 보지하는 높이 위치는 동일하고, 웨이퍼 탑재 선반(300A)의 하단측의 웨이퍼(W)의 보지하는 높이 위치와, 웨이퍼 탑재 선반(300B)의 하단측의 웨이퍼(W)의 보지하는 높이 위치는 동일하다.
또한, 각 지주(35)는, 각각 반송구(31)측 및 반송구(33)측으로부터 로드록실(3A) 내에 웨이퍼(W)를 반입하려고 했을 때에, 웨이퍼(W)와 간섭하지 않는 위치에 마련되어 있다. 또한, 클로부(36)는 상압 반송 아암(20) 및 후술하는 진공 반송 아암(5)을 승강시켰을 때에 각 아암과 간섭하지 않는 위치에 마련되어 있다.
또한, 로드록실(3A)은, 로드록실(3A) 내를 배기하여 진공 분위기로 하기 위한 도시하지 않은 배기구가 형성되는 동시에, 로드록실(3A) 내에 비활성 가스, 예를 들면, 질소(N2) 가스를 공급하여 대기 분위기(상압 분위기)로 하기 위한 도시하지 않은 가스 공급구가 마련되어 있다. 이에 의해 로드록실(3A) 내를 진공 분위기와 상압 분위기 사이에서 전환할 수 있다.
도 1에 도시되는 바와 같이 진공 반송실(9)은 앞쪽으로부터 안쪽을 향해 연장되는 대략 직사각형으로 구성되고, 저면부에 그 내부를 진공 분위기로 하기 위한 배기구(9A)가 형성되고, 배기구(9A)는 진공 배기부(10)에 접속되어 있다. 또한, 반입출 포트(1)로부터 바라볼 때, 진공 반송실(9)의 좌우의 측면에는, 처리 모듈(6)이 각각 접속되어 있다. 도 1에 도시되는 바와 같이 진공 반송실(9)에 있어서의 중심부로부터 벗어난 위치, 여기에서는, 반입출 포트(1)에서 바라볼 때, 진공 반송실(9)의 앞쪽 근처의 위치에 있어서의 중심부의 우측의 측벽의 앞에 기판 반송 기구인 진공 반송 아암(5)이 마련되어 있다.
도 3, 도 4에 도시되는 바와 같이, 진공 반송 아암(5)은, 기대(50)에 접속된 하단 완(腕)부(51)와, 하단 아암부(51)의 선단에 연결된 상단 아암부(52)를 구비하고 있다. 기대(50) 및 하단 아암부(51)와, 하단 아암부(51) 및 상단 아암부(52)는, 각각 연직으로 연장되는 회동축(50A, 51A)을 거쳐서 연직축 주위로 회동 가능하게 연결된 다관절 아암으로서 구성되어 있다. 또한, 기대(50)에 도시하지 않은 승강 기구가 마련되어 있어서, 하단 아암부(51) 및 상단 아암부(52)가 승강 가능하게 구성되어 있다. 기대(50), 하단 아암부(51), 상단 아암부(52)는, 이동체에 상당한다.
상단 아암부(52)의 선단에는, 웨이퍼(W)를 보지하는 웨이퍼 보지부(500)가 마련되어 있다. 웨이퍼 보지부(500)는 제 1 아암(53), 제 2 아암(54), 및 제 1 아암(53) 및 제 2 아암(54)을 지지하는 지지체인 회동체(55)를 구비하고 있다. 웨이퍼 보지부(500)는 회동체(55)의 중심부를 통해 연직 방향으로 연장되는 제 3 회동축(55A)을 거쳐서 상단 아암부(52)의 선단에 회동 가능하게 접속되어 있다.
도 3, 도 4 중의 회동체(55)에서 바라볼 때, 제 1 아암(53)의 선단측이 연장되는 방향을 전방으로 하면, 자세한 것은 후술하지만, 웨이퍼 보지부(500)는 도 3에 도시되는 바와 같이, 제 1 아암(53)과 제 2 아암(54)이 좌우로 거리를 두고 연장되는 형태와, 도 4에 도시되는 바와 같이, 제 1 아암(53)과 제 2 아암(54)이 상하로 정렬한 위치를 연장시키는 형태를 전환할 수 있다. 웨이퍼 보지부(500)의 구성을 설명할 때는, 도 3의 상태를 기초로 설명한다.
도 3에 도시되는 바와 같이, 회동체(55)는 평면에서 바라볼 때 좌우 방향으로 연장되는 부재이다. 회동체(55)의 상면에 있어서의 좌측의 단부 근처의 위치에는, 연직 방향으로 연장되는 제 1 회동축(53A)을 거쳐서 제 1 아암(53)이 접속되어 있다. 또한, 회동체(55)의 상면에 있어서의 우측의 단부 근처의 위치에는, 연직 방향으로 연장되는 제 2 회동축(54A)을 거쳐서 제 2 아암(54)이 접속되어 있다. 또한, 이 예에서는, 제 1 회동축(53A)과 제 2 회동축(54A)은 제 3 회동축(55A)에 대해서 대칭한 위치에 마련되어 있다.
제 1 아암(53)의 기단의 하면측에는, 제 1 아암(53) 선단측의 하면의 높이 위치를 제 2 아암(54)에 보지된 웨이퍼(W)의 상면의 높이 위치보다 높게 하기 위한 대좌부(53B)가 마련되어 있다. 또한, 제 1 아암(53)의 상면의 높이 위치와 제 2 아암(54)의 상면의 높이 위치의 거리는, 로드록실(3A, 3B) 내에 마련된 각 웨이퍼 탑재 선반(300A, 300B)에 있어서의 상단측의 웨이퍼(W)를 보지하는 높이 위치와 하단측의 웨이퍼(W)를 보지하는 높이 위치의 거리와 일치하도록 설정되어 있다.
도 5a를 참조하면, 제 1 아암(53)은 기단측이 제 1 회동축(53A)으로부터 좌측 전방을 향해 연장되고, 선단측이 전방을 향해 연장되도록 굴곡하여 있다. 또한, 제 1 아암(53)을 전방을 향해 바라보았을 때에, 제 1 아암(53)의 선단측은 제 1 회동축(53A)으로부터 좌측으로, 제 1 회동축(53A)과 회동체(55)의 중심부 사이의 이격 거리와 동일한 거리만큼 떨어지도록 구성되어 있다.
제 2 아암(54)의 하면에는, 대좌부가 마련되어 있지 않고, 제 2 아암(54)은 기단측이 제 2 회동축(54A)으로부터 우측 전방을 향해 연장되고, 선단측이 전방을 향해 굴곡하여 있다. 또한, 제 2 아암(54)을 전방을 향해 바라보았을 때에, 제 2 아암(54)의 선단측은 제 2 회동축(54A)으로부터 우측으로, 제 1 회동축(53A)과 회동체(55)의 중심부 사이의 이격 거리와 동일한 거리만큼 떨어지도록 구성되어 있다. 또한, 제 1 아암(53)과, 제 2 아암(54)은 평면에서 바라보았을 때에 제 3 회동축(55A)을 통해 전후 방향으로 연장되는 축선(L)에 대해서 거울면 대칭으로 되어 있다.
제 1 아암(53)의 선단측의 전후 방향으로 연장되는 부위에는, 회동체(55)의 외측에 있어서 제 1 아암(53)의 길이 방향을 따라 2매의 웨이퍼(W)를 지지하는 제 1 기판 지지 영역(501)이 형성되고, 제 2 아암(54)의 선단측의 전후 방향으로 연장되는 부위에는, 회동체(55)의 외측에 있어서 제 2 아암(54)의 길이 방향을 따라 2매의 웨이퍼(W)를 지지하는 제 2 기판 지지 영역(502)이 형성되어 있다.
도 3의 상태에서, 제 1 기판 지지 영역(501)에 있어서의 2매의 웨이퍼(W)의 지지 영역과, 제 2 기판 지지 영역(502)에 있어서의 2매의 웨이퍼(W)의 지지 영역은 서로 축선(L)에 대해서 거울면 대칭으로 배치되어 있다.
이상 설명한 도 3에 도시하는 형태는, 후술의 처리 모듈(6)에 대해서 웨이퍼(W)를 주고 받을 때 제 1 아암(53), 제 2 아암(54)이 취하는 형태이다. 그리고, 도 4에 도시하는 형태는, 로드록실(3A, 3B)에 대해서 웨이퍼를 주고 받을 때 제 1 아암(53), 제 2 아암(54)이 취하는 형태이다.
도 5A 내지 도 5D는, 도 3에 도시하는 형태와, 도 4에 도시하는 형태 사이의 제 1 아암(53) 및 제 2 아암(54)의 형태의 변화를 도시한다. 또한, 도 3에서는, 제 1 기판 지지 영역(501)과 제 2 기판 지지 영역(502)이 좌우로 이격하도록 배치되므로 이하 명세서 내에서는, 「웨이퍼(W)를 수평 배치로 지지하는 형태」라고 부르고, 도 4에서는, 제 1 기판 지지 영역(501)과 제 2 기판 지지 영역(502)이 상하로 중첩하도록 배치되므로 이하 명세서 내에서는, 「웨이퍼(W)를 단 적재로 지지하는 형태」라고 부르도록 한다.
도 5A는, 도 3에 도시하는 형태이며, 이 형태로부터 회동체(55)를 상방에서 바라볼 때 반시계회전으로 회동한다. 이 때 제 1 아암(53) 및 제 2 아암(54)은 회동체(55)의 회동에 맞춰, 상방에서 바라볼 때 회동체(55)에 대해서 시계회전으로 회전한다. 이와 같이 회동체(55)의 회동에 맞춰 제 1 아암(53) 및 제 2 아암(54)을 회동시키는 것에 의해, 제 1 아암(53) 및 제 2 아암(54)은, 선단의 방향이 유지되어, 서로 평행한 상태를 유지한다.
그리고 제 1 아암(53), 제 2 아암(54) 및 회동체(55)를 회동시키는 것에 의해 도 5a의 형태로부터 도 5b에 도시되는 바와 같이 제 1 아암(53) 및 제 2 아암(54)의 선단의 거리가 서서히 가까워진다. 게다가 제 1 아암(53), 제 2 아암(54) 및 회동체(55)를 회동시킴으로써, 제 2 아암(54)이 제 1 아암(53)의 하방에 잠입한다. 그리고 도 5a의 형태로부터 회동체(55)를 180° 회동시키면, 도 5d에 도시되는 바와 같이 제 1 아암(53) 및 제 2 아암(54)에 각각 형성된 제 1 기판 탑재 영역(501) 및 제 2 기판 탑재 영역(502)이 평면에서 바라볼 때, 축선(L) 상에 정렬하여 서로 중첩된다. 이에 의해 웨이퍼(W)를 수평 배치로 지지하는 형태로부터, 웨이퍼(W)를 단 적재로 지지하는 형태로 전환할 수 있다.
또한, 도 5a로부터 도 5d의 반대의 동작, 즉, 도 5d, 도 5c, 도 5b, 도 5a의 순서로 동작시키는 것에 의해, 웨이퍼(W)를 단 적재로 지지하는 형태로부터 웨이퍼(W)를 수평 배치로 지지하는 형태로 되돌아온다.
기술한 바와 같이 웨이퍼 보지부(500)는 회동체(55)와, 제 1 아암(53) 및 제 2 아암(54)을 서로 독립하여 회동시킬 수 있다. 그러한 구동 기구의 일례를 도 6, 도 7에 도시된다. 도 6, 도 7은 각각 웨이퍼 보지부(500)의 측단면도 및 종단 정면도를 나타낸다. 도 6, 도 7에 도시되는 바와 같이 상단 아암부(52)의 내부에는 기대(50)측의 도시하지 않은 모터에 의해 구동되는 벨트(91)를 구비하고, 벨트(91)는 제 3 회동축(55A)을 연직축 주위로 회동시키도록 마련되고, 제 3 회동축(55A)을 회동시키는 것에 의해 회동체(55)가 상단 아암부(52)에 대해서 독립하여 회동한다.
또한, 상단 아암부(52)의 내부의 선단측에는, 풀리(93)가 마련되고, 풀리(93)에는, 제 3 회동축(55A)의 내부를 상방을 향해 연장되는 아암용 회동축(90)의 하단이 접속되어 있다. 또한, 벨트(92)가 풀리(93)에 접속되어 있다. 또한, 제 3 회동축(55A)과, 아암용 회동축(90) 사이에는, 근소한 간극이 마련되어 있고, 제 3 회동축(55A)과, 아암용 회동축(90)과, 서로 간섭하지 않도록 회동할 수 있다. 또한 아암용 회동축(90)의 상단에는, 회동체(55) 내부에 마련된 기어(94)에 접속되어 있고, 기어(94)의 회전에 의해, 제 1 기어박스(95) 내의 기어가 회동하고, 제 1 회동축(53A)을 거쳐서 제 1 아암(53)이 회동한다. 또한 기어(94)의 회전에 의해, 제 2 기어박스(96) 내의 기어가 회동하고, 제 2 회동축(54A)을 거쳐서 제 2 아암(54)이 회동한다. 이러한 구성에 의해 제 1 아암(53) 및 제 2 아암(54)과, 회동체(55)를 서로 독립하여 회동시킬 수 있다.
그리고 벨트(91, 92)를 모두 회전시켰을 때, 예를 들면, 벨트(91, 92)를 동일한 방향으로 동시에 회전시켰을 때에는, 제 1 아암(53) 및 제 2 아암(54)이 회동체(55)의 회동에 맞춰, 회동체(55)의 회동 방향과 동일한 방향으로 회동한다. 이와 같이 제 1 아암(53) 및 제 2 아암(54)을 회동체(55)의 회동에 맞춰 회동시킴으로써, 회동체(55)에 대한 제 1 아암(53) 및 제 2 아암(54)의 상대적인 위치가 변하지 않는다. 이와 같이 제 1 아암(53) 및 제 2 아암(54)을 회동체(55)에 대해서 상대적으로 회동시키지 않고, 회동체(55)를 회동시킴으로써, 제 1 아암(53)과 제 2 아암(54) 사이의 거리를 일정하게 한 채로 회동체(55)를 회동시키는 제 1 회동 동작을 실행할 수 있다.
또한, 2개의 벨트(91, 92) 중 벨트(91)만을 회전시킴으로써, 제 1 아암(53) 및 제 2 아암(54)의 선단측이 연장되는 방향을 바꾸지 않고 회동체(55)만 회동시킬 수 있다. 이 때 제 1 아암(53) 및 제 2 아암(54)은, 회동체(55)에 대해서 상대적으로 회동함으로써, 도 5a 내지 도 5d에 도시되는 바와 같이 제 1 아암(53)과 제 2 아암(54) 사이의 거리를 변경할 수 있고, 예를 들면, 도 3에 도시되는 웨이퍼(W)를 수평 배치로 지지하는 형태와, 도 4에 도시되는 웨이퍼(W)를 단 적재로 지지하는 형태를 전환하는 제 2 회동 동작을 실행할 수 있다.
계속해서, 처리 모듈(6)에 대해서, 도 8의 종단 측면도를 참조하면서 설명한다. 2개의 처리 모듈(6)은, 웨이퍼(W)에 플라즈마 ALD(Atomic Layer Deposition)에 의해 성막하는 성막 모듈이며, 2개 모두 동일하게 구성되고, 처리 모듈(6) 사이에서 서로 병행하여 웨이퍼(W)의 처리를 실행할 수 있다. 처리 모듈(6)은, 평면에서 바라볼 때, 직사각형의 진공 용기(처리 용기)(61)를 구비하고 있고(도 1 참조), 진공 용기(61)의 측벽에는 게이트 밸브(G)에 의해 개폐되는 웨이퍼(W)의 반송구(62)가 개구하여 있다. 도 8 중 부호 63은, 진공 용기(61)의 저면에 개구한 배기구이며, 배기관(64)을 거쳐서 진공 펌프(65)에 접속되어 있다. 도 8 중 부호 66은, 배기관(64)에 개설(介設)된 압력 조정부이며, 진공 용기(61) 내가 소망의 압력의 진공 분위기가 되도록 진공 펌프(65)에 의한 배기구(63)로부터의 배기량을 조정한다.
진공 용기(61) 내에는, 반송구(62)에서 바라볼 때, 앞쪽에서 안쪽을 향해 웨이퍼(W)를 탑재하는 탑재대(67A, 67B)가 열을 이루어 이 순서로 마련되고, 이 탑재대(67A, 67B)의 열은 반송구(62)에서 바라볼 때 좌우로 나열되어 마련됨으로써, 평면에서 바라볼 때 웨이퍼(W)는 진공 용기(61) 내에 2×2 행렬 형상으로, 합계 4매 탑재된다. 탑재대(67A, 67B)는 서로 동일하게 구성되어 있고, 원형 또는 수평으로 형성되어 있다. 도 9에 도시되는 바와 같이 반송구(62)에서 바라볼 때 좌측의 탑재대(67A)와 탑재대(67B)는, 각각 웨이퍼(W)를 수평 배치로 지지하는 형태로 한 웨이퍼 보지부(500)에 있어서의 제 1 아암(53)의 기단측의 제 1 기판 지지 영역(501)과 선단측의 제 1 기판 지지 영역(501)에 대응하는 위치에 마련되어 있다. 또한, 반송구(62)에서 바라볼 때 우측의 탑재대(67A)와 탑재대(67B)는, 각각 웨이퍼(W)를 수평 배치로 지지하는 형태로 한 웨이퍼 보지부(500)에 있어서의 제 2 아암(54)의 기단측의 제 2 기판 지지 영역(502)과 선단측의 제 2 기판 지지 영역(502)에 대응하는 위치에 마련되어 있다. 도 8 중 부호 70은, 탑재대(67A, 67B)에 각각 매설된 히터이며, 탑재대(67A, 67B)에 탑재된 각 웨이퍼(W)를 300℃ 내지 450℃로 가열한다.
도 8 중 부호 68은, 진공 용기(61)의 저면의 중앙부를 관통하는 지주이며, 해당 지주(68)의 상단에서는 4개의 지지 아암(69)이 수평으로 방사상으로 연장되어, 탑재대(67A, 67B)를 하방측으로부터 지지하고 있다. 지주(68)의 하단측은, 진공 용기(61)의 하방 외측에서 승강 기구(71)에 접속되어 있고, 해당 승강 기구(71)에 의해 지주(68) 및 지지 아암(69)을 거쳐서 탑재대(67A, 67B)가, 도 8 중에 실선으로 나타내는 위치와 쇄선으로 나타내는 위치 사이에서 승강한다. 실선으로 나타내는 위치는, 웨이퍼(W)를 처리하기 위한 처리 위치이며, 쇄선으로 나타내는 위치는, 탑재대(67A, 67B)와 상기의 진공 반송 아암(5) 사이에서 웨이퍼(W)를 주고 받기 위한 주고받음 위치이다. 또한, 도 8 중 72는, 진공 용기(61) 내를 기밀하게 유지하기 위한 시일 부재이다.
도 8, 도 9에 도시되는 바와 같이 각 탑재대(67A, 67B)에는, 제 1 아암(53) 및 제 2 아암(54)을 피하도록 3개의 관통 구멍(73)이 형성되어 있고(도 8에서는 2개만 표시하고 있음), 각 관통 구멍(73)에는 반송 기구(51)와의 사이에서 웨이퍼(W)를 주고 받기 위해서 승강하는 승강 핀(75)이 마련되어 있다. 도 8 중 부호 74는, 승강 핀(75)을 승강시키는 승강 기구(74)이며, 진공 용기(61)의 하방의 외측에 마련되어 있다. 또한, 도 8 중 76은, 진공 용기(61) 내의 기밀성을 확보하기 위한 벨로우즈(bellows)이다.
진공 용기(61)의 천장에 있어서 탑재대(67A 및 67B)의 상방에는, 절연 부재(77A)를 거쳐서 가스 샤워 헤드(77)가 각각 마련되어 있다. 가스 샤워 헤드(77)의 하면은 탑재대(67A, 67B)에 대향하고, 해당 하면에는 가스 토출 구멍(78)이 다수, 분산하여 배설되어 있다. 또한, 가스 샤워 헤드(7)에는 정합기(701)를 거쳐서 고주파 전원(702)이 접속된다. 또한 탑재대(67A, 67B) 내에는, 도시하지 않은 하부 전극이 매설되어 있고, 하부 전극은 접지 전위에 접속되어 있다. 도 8 중 부호 79는, 가스 공급부이며, 가스 샤워 헤드(77)에 4염화티탄(TiCl4), 수소(H2) 가스, 아르곤(Ar) 가스, 질소(N2) 가스를 각각 독립하여 공급하고, 이러한 가스가 가스 토출구(78)로부터 각각 토출된다.
처리 모듈(6)에 의한 웨이퍼(W)의 성막 처리에 대해 설명하면, 주고받음 위치에 위치하는 2개의 탑재대(67A), 2개의 탑재대(67B)에 웨이퍼(W)가 탑재된 후, 히터(70)에 의해 웨이퍼(W)가 가열되는 동시에 탑재대(67A, 67B)가 상승하여 처리 위치로 이동한다. 그 다음에, 가스 샤워 헤드(77)로부터 성막용의 가스로서 TiCl4 가스를 공급하여, 웨이퍼(W)의 표면에 흡착시킨다. 게다가, 반응 가스로서 Ar 가스 및 H2 가스를 공급한다. 게다가, 고주파 전원(702)으로부터 가스 샤워 헤드(77)와 탑재대(67A, 67B) 내의 하부 전극 사이에 고주파 전력을 인가하는 것에 의해 공급된 반응 가스를 용량 결합에 의해 플라즈마화한다. 이에 의해 TiCl4 가스와 H2 가스가 활성화되어 반응하고, 웨이퍼(W)의 표면에 Ti(티탄)의 층이 성막된다.
이와 같이 TiCl4 가스의 흡착, Ar 가스 및 H2 가스의 공급과 함께 반응 가스의 플라즈마화를 차례대로 복수 회 반복한다. 이에 의해 상기의 Ti층의 형성이 반복하여 행해지고, 소망의 막 두께를 갖는 Ti막이 형성된다.
진공 처리 장치는, 도 1에 도시되는 바와 같이 진공 처리 장치 내에 있어서의 웨이퍼(W)의 반송, 진공 반송 아암(5)에 있어서의 웨이퍼 보지부(500)의 전환 기구의 구동, 처리 모듈(6)에 있어서의 성막 처리의 프로세스, 로드록실(3A, 3B)에 있어서의 분위기의 전환을 제어하는 제어부(100)를 구비하고 있다. 제어부(100)는 예를 들면, 도시하지 않은 CPU와 기억부를 구비한 컴퓨터로 이루어지고, 이 기억부에는 처리 모듈(6)에 있어서의 성막 처리의 레시피나, 해당 진공 처리 장치에 있어서, 상압 반송 아암(20) 및 진공 반송 아암(5)에 의한 웨이퍼(W)의 반송 실행하기 위한 단계(명령)군이 짜여진 프로그램이 기록되어 있다. 이 프로그램은 예를 들면, 하드 디스크, 콤팩트 디스크, 마그넷 옵티컬 디스크, 메모리 카드 등의 기억 매체에 격납되고, 거기서부터 컴퓨터로 인스톨된다.
계속해서, 진공 처리 장치의 작용에 대해 설명한다. 도 10에 도시되는 바와 같이, 웨이퍼(W)를 수용한 캐리어(C)가 반입출 포트(1) 상에 탑재되면, 해당 캐리어(C) 내의 웨이퍼(W)가 상압 반송 아암(20)에 의해서 취출되어, 로드록실(3A, 3B)의 웨이퍼 탑재 선반(300)으로 주고 받아진다. 그리고, 로드록실(3A, 3B)의 각 웨이퍼 탑재 선반(300)에 웨이퍼(W)를 탑재한 후, 상압 반송 아암(20)을 상압 반송실(2)로 퇴피시키고, 게이트 밸브(32)를 닫고, 로드록실(3A, 3B) 내의 분위기를 진공 분위기로 전환한다.
계속해서, 도 11에 도시되는 바와 같이, 예를 들면, 로드록실(3A)과 진공 반송실(9) 사이의 게이트 밸브(34)를 열고, 진공 반송 아암(5)의 웨이퍼 보지부(500)를, 웨이퍼(W)를 단 적재로 지지하는 형태로 전환하여 로드록실(3A)에 진입시킨다. 이 때 도 12에 도시되는 바와 같이 제 2 아암(54)이 로드록실(3A)에 있어서의 하단측의 2매의 웨이퍼(W)의 하방에 진입하고, 제 1 아암(53)이 상단측의 2매의 웨이퍼(W)의 하방에 진입한다. 그리고, 도 13에 도시되는 바와 같이, 진공 반송 아암(5)을 상승시키면, 제 1 및 제 2 아암(53, 54)은, 로드록실(3A) 내의 4매의 웨이퍼(W)를 일괄하여 들어올린다.
그 다음에, 도 14에 도시되는 바와 같이 진공 반송 아암(5)을 로드록실(3A)로부터 진공 반송실(9)로 퇴피시키고, 게이트 밸브(34)를 닫는다. 게다가, 진공 반송 아암(5)의 회동체(55)를 회동시켜서 웨이퍼(W)를 수평 배치로 지지하는 형태로 전환한다(제 2 회동 동작을 실행함).
그리고, 도 15에 도시되는 바와 같이, 예를 들면, 진공 처리 장치의 앞쪽에서 바라볼 때, 진공 반송실(9)의 우측의 처리 모듈(6)의 게이트 밸브(G)를 열고, 웨이퍼(W)를 수평 배치로 지지하는 형태로 웨이퍼 보지부(500)를 처리 모듈(6) 내에 진입시킨다.
이 때 웨이퍼 보지부(500)를 처리 모듈(6)에 진입시켰을 때에 진공 반송 아암(5)에 지지되어 있는 4매의 웨이퍼(W)는, 각각 처리 모듈(6)의 4대의 탑재대(67A, 67B)의 상방에 위치한다. 그리고, 각 탑재대(67A, 67B)에 마련된 승강 핀(75)을 상승시키고, 승강 핀(75)에 의해 진공 반송 아암(5)에 지지되어 있는 웨이퍼(W)를 각각 밀어올려서 수취한다. 게다가, 진공 반송 아암(5)을 진공 반송실(9)로 퇴피시키고, 승강 핀을 하강시켜서, 각 탑재대(67A, 67B)에 웨이퍼를 탑재한다. 이와 같이 4매의 웨이퍼(W)는, 각각 대응하는 탑재대(67A, 67B)에 일괄하여 주고 받아진다.
마찬가지로, 진공 처리 장치의 앞쪽에서 바라볼 때 진공 반송실(9)의 좌측에 마련된 처리 모듈(6)에, 로드록실(3B) 내에 반송된 웨이퍼(W)가 진공 반송 아암(5)에 의해서 일괄하여 주고 받는다. 그 후 각 처리 모듈(6)에서, 웨이퍼(W)에 기술한 성막 처리가 실행된다.
각 처리 모듈(6)에서 성막 처리를 끝내면, 도 16에 도시되는 바와 같이 각 탑재대(67A, 67B)의 웨이퍼(W)를 승강 핀(75)으로 밀어올린 상태로 하고, 예를 들면, 앞쪽에서 바라볼 때 우측의 처리 모듈(6)에 있어서의 게이트 밸브(G)를 연다. 그리고, 웨이퍼 보지부(500)를 웨이퍼(W)를 수평 배치로 지지하는 형태로 처리 모듈(6)에 진입시킨다. 이 때 제 1 아암(53) 및 제 2 아암(54)은, 각각 대응하는 웨이퍼(W)의 하방에 제 1 기판 지지 영역(501), 제 2 기판 지지 영역(502)을 정렬하는 위치에 진입하고 있고, 승강 핀(75)을 하강시킴으로써, 4매의 웨이퍼(W)가 일괄하여 제 1 아암(53), 제 2 아암(54)에 주고 받는다. 또한, 도 16 내지 도 18에서는, 처리가 끝난 웨이퍼(W)에 해칭(hatching)을 부여하여 나타내고 있다.
그 다음에, 도 17에 도시되는 바와 같이, 웨이퍼 보지부(500)를 진공 반송실(9)에 퇴피시키고, 게다가 웨이퍼 보지부(500)를 웨이퍼(W)를 단 적재로 지지하는 형태로 전환한다. 그 후, 도 18에 도시되는 바와 같이, 로드록실(3A)의 진공 반송실(9)측의 게이트 밸브(34)를 열고, 진공 반송 아암(5)을 웨이퍼(W)를 단 적재로 지지하는 형태로 로드록실(3A)에 진입시킨다. 이 때 도 19에 도시되는 바와 같이 제 1 아암(53) 및 제 2 아암(54)의 선단측에 지지되어 있는 웨이퍼(W)가 각각 웨이퍼 탑재 선반(300B)의 상단측 및 하단측의 웨이퍼(W)를 보지하는 클로부(36)의 상방에 위치한다. 또한, 제 1 아암(53) 및 제 2 아암(54)의 기단측에 지지되어 있는 웨이퍼(W)가 각각 웨이퍼 탑재 선반(300A)의 상단측 및 하단측의 웨이퍼(W)를 보지하는 클로부(36)의 상방에 위치한다. 게다가, 도 20에 도시되는 바와 같이 진공 반송 아암(5)을 하강시키는 것에 의해, 제 1 아암(53) 및 제 2 아암에 보지된 웨이퍼(W)가 웨이퍼 탑재 선반(300A, 300B)으로 주고 받아진다.
그 후, 진공 반송 아암(5)을 진공 반송실(9)에 퇴피시키고, 로드록실(3A)에 있어서는, 게이트 밸브(34)를 닫은 후, 상압 분위기로 전환한다. 게다가, 상압 반송실(2)측의 게이트 밸브(32)를 열고, 상압 반송 아암(20)에 의해, 웨이퍼 탑재 선반(300A, 300B)에 지지된 4매의 처리가 끝난 웨이퍼(W)를 캐리어(C)로 되돌린다.
게다가, 진공 반송 아암(5)은 진공 반송 장치의 앞쪽에서 바라볼 때 진공 반송실(9)의 좌측의 처리 모듈(6)로부터 처리가 끝난 웨이퍼(W)를 취출하여, 마찬가지로 로드록실(3B)로 반송한다. 그 후, 상압 반송 아암(20)에 의해, 로드록실(3B)로부터 처리가 끝난 웨이퍼(W)를 취출하여, 캐리어(C)로 반송한다.
그런데, 진공 처리 장치에 있어서는, 장치의 스루풋을 향상시키기 위해서 처리 모듈을 기판을 각각 보지하는 탑재대를 수평으로 복수, 예를 들면, 실시형태에 나타낸 바와 같이 탑재대(67A, 67B)를 2×2 행렬 형상으로 4대 마련한 구성으로 하고, 각각의 탑재대(67A, 67B)에서 웨이퍼(W)의 처리를 하는 장치가 알려져 있다. 이러한 장치에 있어서는 장치의 스루풋을 더욱 향상시키기 위해, 처리 모듈에 기판을 반송하는 진공 반송 기구를, 종래에서는 2×2 행렬 형상으로 배치된 4매의 기판을 수평 배치로 지지하고, 일괄하여 주고받음을 실행하는 장치로 하고 있었다.
그렇지만, 종래형의 4매의 기판을 수평 배치로 지지하는 진공 반송 기구의 경우에는, 처리 모듈에 반입하는 기판을 진공 반송 기구에 주고 받기 위한 모듈, 예를 들면, 진공 반송 기구가 마련되는 진공 반송실(9)에 접속되는 로드록 모듈측에 있어서도 마찬가지로 4매의 기판을 2×2 행렬 형상으로 수평 배치로 배치하고, 기판을 진공 반송 기구에 일괄하여 주고 받게 할 필요가 있다. 그 때문에, 로드록 모듈측에 수평으로 4매의 기판을 탑재하는 영역을 확보할 필요가 있어서 장치의 설치 면적이 대형화되버리는 문제가 있다.
또한, 로드록 모듈측에 있어서, 수평으로 나열된 기판의 매수를 줄임으로써, 예를 들면, 기판을 2매×2단의 단 적재로 함으로써 장치의 설치 면적의 대형화를 피할 수 있다. 그렇지만 이 경우에는, 2×2 행렬 형상으로 탑재대가 마련된 처리 모듈에 기판을 반송할 때 진공 반송 장치를 수평으로 2매의 기판을 지지하는 구성으로 하여 기판을 2매 마다 2회 액세스(access)시킬 필요가 있다. 또는, 진공 반송 기구를 2매×2단의 단 적재 보지하는 기구를 채용하고, 기판을 2단의 단 적재로 수취하고, 처리 모듈측에 새롭게 단 적재하여 보지된 기판을 수평 적재로 지지하도록 전개(展開)하는 이재(移載) 기구를 마련하고, 기판을 수평 적재로 지지하도록 전개하는 공정이 필요하게 되는 등 스루풋이 저하하는 문제가 있다.
또한, 처리 모듈 내에 이재 기구를 마련함으로써 파티클 발생의 요인이 우려가 있다.
상술의 실시형태에 의하면, 진공 반송 아암(5)에 있어서 회동체(55)에 연직 축방향으로 연장되는 제 1 회동축(53A) 및 제 2 회동축(54A)을 서로 이격되도록 마련하고 있다. 게다가, 제 1 아암(53)을 제 1 회동축(53A)으로부터 좌측을 향해 연장시켜서, 선단측이 전방으로 굴곡하도록 마련하고, 제 2 아암(54)을 제 2 회동축(54A)으로부터 우측으로 연장시켜서, 선단측이 전방으로 굴곡하도록, 제 1 아암(53)과 상이한 높이에 마련하고 있다.
그리고, 제 1 아암(53)의 선단측과 제 2 아암(54)의 선단측에 각각, 서로 상이한 웨이퍼(W)를 제 1 아암(53), 제 2 아암(54)의 길이 방향으로 2매 보지하는 제 1 기판 지지 영역(501)과 제 2 기판 지지 영역(502)을 마련하고 있다. 또한, 회동체(55)에 제 3 회동축(55A)을 마련하고, 제 1 기판 지지 영역(501)과 제 2 기판 지지 영역(502)의 좌우의 거리를 변경하도록 회동체(55)를 회동시키는 제 2 회동 동작과, 제 1 기판 지지 영역(501)과 제 2 기판 지지 영역(502)의 서로의 거리를 변화시키지 않고 회동체(55)를 회동시키는 제 1 회동 동작을 전환할 수 있도록 구성하고 있다.
그 때문에 웨이퍼(W)를 좌우로 이격하여 수평으로 2×2 행렬 형상으로 나열하여 지지하는 수평 배치 상태와, 웨이퍼(W)를 상하로 2매×2단으로 배치하여 지지할 수 있는 단 적재 상태로 전환할 수 있다. 이러한 진공 반송 아암(5)을 진공 처리 장치에 적용함으로써, 처리 모듈(6) 및 로드록실(3A, 3B)의 레이아웃을 넓게 선택할 수 있다.
따라서 처리 모듈(6)에 2×2 행렬 형상으로 나열하는 복수의 탑재대(67A, 67B)를 마련하고, 로드록실(3A, 3B)을 웨이퍼(W)를 2매×2단의 단 적재로 보지하는 구성으로 했을 경우에 있어서도, 진공 반송 아암(5)에 의해, 복수의 탑재대(67A, 67B) 및 웨이퍼(W)를 단 적재로 보지하는 로드록실(3A, 3B) 중 어느 하나에 대해서도 웨이퍼(W)를 일괄하여 주고 받을 수 있다. 그 때문에 장치의 대형화를 억제하면서, 스루풋을 개선할 수 있다.
또한, 진공 반송 아암(5)은 웨이퍼(W)를 지지하는 아암을 3개 구비하여도 좋다. 예를 들어, 도 21, 도 22에 도시되는 바와 같이 예를 들면, 제 3 회동축(55A)과 중첩하도록 제 4 회동축(56A)을 마련하고, 제 4 회동축(56A)으로부터 선단측이 전방으로 연장되고, 제 1 아암(53)과 제 2 아암(54) 사이의 높이 위치에 위치하는 제 3 아암(56)을 마련한다. 그리고, 제 3 아암(56)의 선단측에 제 1 기판 지지 영역(501) 및 제 2 기판 지지 영역(502) 각각에서 보지하는 웨이퍼(W)와 다른 웨이퍼(W)를 보지하는 제 3 기판 지지 영역(503)을 마련하고 있다. 게다가, 제 3 아암(56)도 회동체(55)의 회동 각도에 의하지 않고 항상 전방을 향해 연장되는 자세를 유지한다. 따라서, 제 1 아암(53)의 선단측, 제 2 아암(54)의 선단측 및 제 3 아암(56)은, 항상 평행하게 되어 있다.
이러한 구성의 진공 반송 아암(5)에 있어서, 도 23a에 도시되는 바와 같이 회동체(55)를 제 1 회동축(53A)이 좌측, 제 2 회동축(54A)을 우측으로 이동하도록, 회동시킴으로써 제 1 기판 지지 영역(501), 제 2 기판 지지 영역(502) 및 제 3 기판 지지 영역(503)이 좌우 방향으로 멀어지도록 배치되고, 6매의 웨이퍼(W)를 수평 배치로 지지하는 형태가 된다. 게다가, 도 23a의 상태로부터 회동체(55)를 상방에서 바라볼 때 반시계회전으로 회동하면, 도 23b, 도 23c에 도시되는 바와 같이 제 1 아암(53)의 선단측, 제 2 아암(54)의 선단측 및 제 3 아암(56)이 서로 평행을 유지한 채로, 서로의 거리를 서서히 짧게 한다. 그리고, 도 23a의 상태로부터 회동체(55)를 상방에서 바라볼 때 반시계회전으로 180° 회동시키면 도 23d에 도시되는 바와 같이 제 1 기판 지지 영역(501), 제 2 기판 지지 영역(502) 및 제 3 기판 지지 영역(503)의 수평 방향의 위치가 서로 정렬하여, 상하 방향으로 웨이퍼를 3단의 단 적재로 지지하는 형태로 할 수 있다.
이러한 진공 반송 아암(5)을 이용함으로써 처리 모듈(6)에 마련하는 탑재대(67A, 67B)를 반송구측에서 바라볼 때 3열×2행 행렬 형상으로 배치하고, 로드록실(3A, 3B)을 3단의 구성으로 할 수 있다.
게다가, 도 24a, 도 24b에 진공 반송 아암(5)의 또 다른 예를 도시한다. 이 진공 반송 아암(5)의 웨이퍼 보지부(500)는, 회동체(55)에 서로 이격하도록 마련된 연직 방향으로 연장되는 제 1 회동축(53A)과 제 2 회동축(54A)을 구비하고 있다. 제 1 아암(53)은 제 1 회동축(53A)으로부터 좌측 전방으로 연장되고, 선단측이 굴곡 하여 전방으로 연장되어 있다. 또한, 제 1 아암(53)의 제 1 회동축(53A)으로부터 전방을 향해 굴곡하는 부위까지의 거리는, 제 1 회동축(53A)과 제 2 회동축(54A)의 이격 거리와 동일한 거리로 설정되어 있다. 게다가, 제 2 아암(54)은 제 2 회동축(54A)으로부터 전방을 향해 성장하도록 구성되어 있다. 그리고 제 1 아암(53) 및 제 2 아암(54)에는 각각 웨이퍼를 보지하는 제 1 기판 지지 영역(501) 및 제 2 기판 지지 영역(502)이 마련되어 있다.
이러한 진공 반송 아암(5)에 있어서, 도 24a에 도시되는 바와 같이 제 1 회동축(53A)을 통해 전후 방향으로 연장되는 축선(L')과 제 1 아암의 기단측의 부위가 연장되는 방향이 이루는 각도(θ1)와, 축선(L')과 회동체(55)가 연장되는 방향이 이루는 각도(θ2)가 동일한 각도가 되도록 회동체(55)를 회동시키는 것에 의해, 제 1 기판 지지 영역(501)과 제 2 기판 지지 영역(502)이 좌우로 이격하여 웨이퍼(W)를 수평 배치로 지지하는 형태로 할 수 있다.
게다가, 회동체(55)를 도 24a의 상태로부터 반시계회전 방향으로 회동시켜서, 도 24b에 도시되는 바와 같이 회동체(55)를 제 1 아암(53)의 하방에 정렬함으로써, 제 2 아암(54)도 제 1 아암(53)의 하방에 정렬하는 위치로 이동한다. 이 때 제 1 기판 지지 영역(501)과 제 2 기판 지지 영역(502)의 위치가 정렬하여, 웨이퍼(W)를 단 적재로 지지하는 형태로 할 수 있다. 이러한 구성으로 한 경우에도 회동체(55)를 회동시킴으로써, 진공 반송 아암(5)의 웨이퍼 보지부(500)를 웨이퍼(W)를 수평 배치로 보지하는 상태와, 웨이퍼를 단 적재로 보지하는 상태로 전환할 수 있기 때문에 동일한 효과를 얻을 수 있다.
게다가, 지지체에 제 2 회동 동작에 있어서, 평행시킨 제 1 아암(53)과 제 2 아암(54) 사이의 제 2 거리는, 제 1 기판 지지 영역과 제 2 기판 지지 영역이 상하로 중첩되는 것은 필수는 아니고, 제 2 회동 동작을 실시했을 때에 제 1 기판 지지 영역과 제 2 기판 지지 영역의 좌우의 거리가, 제 1 거리로 평행하게 나열된 상태와, 제 1 거리보다 좁은 제 2 거리로 평행하게 나열된 상태 사이에서 전환되면 좋다.
게다가, 제 1 기판 지지 영역, 제 2 기판 지지 영역 및 제 3 기판 지지 영역에서 지지되는 기판의 매수는 각각 2매로만 한정하는 것은 아니고, 1매 또는 3매 이상이어도 좋다. 또한, 기판 반송 기구는, 로드록실과 처리 모듈 사이에서 기판을 주고 받는 것은 필수는 아니다. 예를 들어, 진공 반송실(9)에 복수의 기판을 임시로 거치시키기 위한 임시 거치부를 접속하고, 임시 거치부와 처리 모듈 사이에서 기판을 주고 받는 기판 반송 기구에 적용해도 좋다.
이상으로 검토한 것과 같이, 이번 개시된 실시형태는 모든 점에서 예시이며 제한적인 것은 아니라고 생각할 수 있어야 한다. 상기의 실시형태는, 첨부한 청구범위 및 그 주지를 일탈하는 일 없이, 여러 가지 형태로 생략, 치환, 변경되어도 좋다.
2 : 상압 반송실 3A, 3B : 로드록실
6 : 처리 모듈 5 : 진공 반송 아암
53 : 제 1 아암 53A : 제 1 회동축
54 : 제 2 아암 54A : 제 2 회동축
55 : 회동체 55A : 제 3 회동축
56 : 제 3 아암 56A : 제 4 회동축
500 : 웨이퍼 보지부 501 : 제 1 기판 지지 영역
502 : 제 2 기판 지지 영역 503 : 제 3 기판 지지 영역
W : 웨이퍼

Claims (9)

  1. 횡방향으로 이동하는 이동체와,
    상기 이동체에 지지되는 지지체와,
    상기 지지체에 서로 떨어져서 마련되는 각각 종방향의 제 1 회동축 및 제 2 회동축과,
    상기 제 1 회동축으로부터 전방을 향해 연장되고, 그 선단측이 상기 지지체의 외측에서 기판을 지지하는 제 1 기판 지지 영역을 이루는 제 1 아암과,
    상기 제 2 회동축으로부터 전방을 향해 연장되고, 그 선단측이 상기 지지체의 외측에서 상기 제 1 아암에 지지되는 기판과는 다른 기판을 지지하는 제 2 기판 지지 영역을 이루도록, 제 1 아암과는 다른 높이에 마련되는 제 2 아암과,
    상기 이동체에 대해서 상기 지지체를 회동시키기 위한 종방향의 제 3 회동축과,
    상기 제 1 기판 지지 영역과 제 2 기판 지지 영역의 좌우의 거리가 유지되도록 상기 지지체를 회동시키는 제 1 회동 동작과, 상기 좌우의 거리가 제 1 거리와 상기 제 1 거리보다 좁은 제 2 거리 사이에서 변경되도록 상기 제 1 회동축 및 제 2 회동축 중 적어도 일방의 회동과 함께 상기 지지체를 회동시키는 제 2 회동 동작을 전환하여 실행하는 전환 기구를 구비하는
    기판 반송 기구.
  2. 제 1 항에 있어서,
    상기 제 3 회동축은 좌우 방향에 있어서 상기 제 1 회동축과, 상기 제 2 회동축 사이에 마련되고,
    상기 전환 기구는 상기 제 2 회동 동작에 있어서, 상기 제 1 회동축 및 제 2 회동축을 회동시키고,
    제 1 아암은 상기 제 1 회동축으로부터 좌우 중 일방을 향해 연장되는 기단측을 더 구비하고, 상기 제 1 아암의 선단측은 상기 기단측으로부터 전방을 향해 연속적으로 연장되고,
    제 2 아암은 상기 제 2 회동축으로부터 좌우 중 타방을 향해 연장되는 기단측을 더 구비하고, 상기 제 2 아암의 선단측은 상기 기단측으로부터 전방을 향해 연속적으로 연장되는
    기판 반송 기구.
  3. 제 2 항에 있어서,
    상기 좌우의 거리가 제 2 거리일 때에, 상기 제 1 기판 지지 영역과 상기 제 2 기판 지지 영역이 서로 중첩하는
    기판 반송 기구.
  4. 제 2 항 또는 제 3 항에 있어서,
    상기 제 1 기판 지지 영역 및 상기 제 2 기판 지지 영역은, 복수의 상기 기판을 상기 제 1 아암의 선단측, 상기 제 2 아암의 선단측의 길이 방향을 따라 각각 지지하는 영역인
    기판 반송 기구.
  5. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 3 회동축에 중첩하는 종방향의 제 4 회동축과,
    상기 제 4 회동축으로부터 전방으로 연장되고, 그 선단측이 상기 지지체의 외측에 있어서 상기 제 1 아암, 제 2 아암에 각각 지지되는 기판과는 다른 기판을 지지하는 제 3 기판 지지 영역을 이루는 제 3 아암을 더 구비하고,
    상기 제 1 기판 지지 영역과 제 2 기판 지지 영역의 좌우의 거리가 상기 제 1 거리일 때와 제 2 거리일 때에서 상기 제 3 아암의 방향이 일치하도록 상기 제 3 아암이 회동하는
    기판 반송 기구.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 지지체 및 이동체는 다관절 아암을 구성하는
    기판 반송 기구.
  7. 진공 분위기의 반송실과,
    상기 반송실에 접속되고, 진공 분위기에서 상기 기판에 처리를 실행하는 처리 모듈과,
    상기 반송실에 접속되는 로드록 모듈과,
    상기 로드록 모듈과 상기 처리 모듈 사이에서 상기 기판을 반송하기 위해서 상기 반송실에 마련되는 제 1 항 내지 제 6 항 중 어느 한 항에 기재된 기판 반송 기구와,
    상기 기판을 격납한 반송 용기가 탑재되고, 상기 반송 용기와 상기 로드록 모듈 사이에서 상기 기판을 반송하는 로더 모듈을 구비하는
    기판 처리 장치.
  8. 제 7 항에 있어서,
    상기 좌우의 거리에 대해서,
    적어도 상기 처리 모듈에 상기 기판을 주고 받을 때는 상기 제 1 거리로 되는
    기판 처리 장치.
  9. 이동체를 횡방향으로 이동하는 공정과,
    상기 이동체에 지지되는 지지체에 서로 떨어져서 마련되는 종방향의 제 1 회동축 및 제 2 회동축을 각각 회동시키는 공정과,
    상기 제 1 회동축으로부터 전방으로 연장되고, 그 선단측이 상기 지지체의 외측에서 제 1 기판 지지 영역을 이루는 제 1 아암에 기판을 지지하는 공정과,
    상기 제 2 회동축으로부터 전방으로 연장되고, 그 선단측이 상기 지지체의 외측에서 제 2 기판 지지 영역을 이루는 동시에, 상기 제 1 아암과는 다른 높이에 마련되는 제 2 아암에, 상기 제 1 아암에 지지되는 기판과는 다른 기판을 지지하는 공정과,
    종방향의 제 3 회동축 주위로 상기 이동체에 대해서 상기 지지체를 회동시키는 공정과,
    상기 제 1 기판 지지 영역과 제 2 기판 지지 영역의 좌우의 거리가 유지되도록 상기 지지체를 회동시키는 제 1 회동 동작을 실행하는 공정과,
    상기 좌우의 거리가 제 1 거리와 상기 제 1 거리보다 좁은 제 2 거리 사이에서 변경되도록 상기 제 1 회동축 및 제 2 회동축 중 적어도 일방의 회동과 함께 상기 지지체를 회동시키는 제 2 회동 동작을 실행하는 공정을 구비하는
    기판 반송 방법.
KR1020190106633A 2018-08-31 2019-08-29 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법 KR102244352B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018163103A JP7183635B2 (ja) 2018-08-31 2018-08-31 基板搬送機構、基板処理装置及び基板搬送方法
JPJP-P-2018-163103 2018-08-31

Publications (2)

Publication Number Publication Date
KR20200026138A true KR20200026138A (ko) 2020-03-10
KR102244352B1 KR102244352B1 (ko) 2021-04-23

Family

ID=69639975

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190106633A KR102244352B1 (ko) 2018-08-31 2019-08-29 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법

Country Status (3)

Country Link
US (1) US10872798B2 (ko)
JP (1) JP7183635B2 (ko)
KR (1) KR102244352B1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7225613B2 (ja) * 2018-09-03 2023-02-21 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
KR20210119185A (ko) * 2020-03-24 2021-10-05 주식회사 원익아이피에스 이송로봇 및 이를 포함하는 기판처리시스템
KR102585551B1 (ko) * 2021-09-16 2023-10-06 주식회사 나인벨 기판 교체시간이 단축된 반도체 기판 처리장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006294786A (ja) 2005-04-08 2006-10-26 Ulvac Japan Ltd 基板搬送システム
KR20080039775A (ko) * 2006-11-01 2008-05-07 주식회사 아이피에스 웨이퍼이송로봇, 이를 이용한 웨이퍼가공시스템 및웨이퍼처리방법
JP2010157736A (ja) 2008-12-29 2010-07-15 Kc Tech Co Ltd 原子層蒸着装置
KR20140044278A (ko) * 2012-10-04 2014-04-14 히라따기꼬오 가부시키가이샤 반입출 로봇

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0825151B2 (ja) * 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
JPH11188671A (ja) * 1997-12-26 1999-07-13 Daihen Corp 2アーム方式の搬送用ロボット装置
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
JPH11300663A (ja) * 1998-04-24 1999-11-02 Mecs Corp 薄型基板搬送装置
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
US7578649B2 (en) * 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
JP2004235538A (ja) * 2003-01-31 2004-08-19 Tokyo Electron Ltd 搬送装置,真空処理装置およびoリング
US9691651B2 (en) * 2005-01-28 2017-06-27 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
WO2005123565A2 (en) * 2004-06-09 2005-12-29 Brooks Automation, Inc. Dual sacra arm
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US8752449B2 (en) * 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
JP4784599B2 (ja) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
WO2010080983A2 (en) * 2009-01-11 2010-07-15 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing
JP5395271B2 (ja) * 2010-08-17 2014-01-22 キヤノンアネルバ株式会社 基板搬送装置、電子デバイスの製造システムおよび電子デバイスの製造方法
JP5610952B2 (ja) * 2010-09-24 2014-10-22 日本電産サンキョー株式会社 産業用ロボット
KR20140087038A (ko) * 2011-12-15 2014-07-08 다즈모 가부시키가이샤 웨이퍼 반송장치
US8961099B2 (en) * 2012-01-13 2015-02-24 Novellus Systems, Inc. Dual arm vacuum robot with common drive pulley
JP6285926B2 (ja) * 2012-07-05 2018-02-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ブーム駆動装置、マルチアームロボット装置、電子デバイス処理システム、および電子デバイス製造システムにおいて基板を搬送するための方法
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
US10224232B2 (en) * 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
US9149936B2 (en) * 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
CN111489987A (zh) * 2013-03-15 2020-08-04 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US9761478B2 (en) * 2014-01-28 2017-09-12 Brooks Automation, Inc. Substrate transport apparatus
KR101866625B1 (ko) * 2014-09-03 2018-06-11 가부시키가이샤 알박 반송 장치 및 진공 장치
WO2016056119A1 (ja) * 2014-10-10 2016-04-14 川崎重工業株式会社 基板搬送ロボットおよびその運転方法
KR102417929B1 (ko) * 2015-08-07 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20170125269A1 (en) * 2015-10-29 2017-05-04 Aixtron Se Transfer module for a multi-module apparatus
TWI707754B (zh) * 2016-06-28 2020-10-21 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之方法
JP6403722B2 (ja) * 2016-07-21 2018-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
JP2018032797A (ja) * 2016-08-25 2018-03-01 ローツェ株式会社 搬送装置
KR102373081B1 (ko) * 2017-02-15 2022-03-11 퍼시몬 테크놀로지스 코포레이션 다수의 엔드-이펙터들을 가진 재료-핸들링 로봇
US10763139B2 (en) * 2017-05-23 2020-09-01 Tokyo Electron Limited Vacuum transfer module and substrate processing apparatus
JP6951923B2 (ja) * 2017-09-27 2021-10-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体
CN109994358B (zh) * 2017-12-29 2021-04-27 中微半导体设备(上海)股份有限公司 一种等离子处理系统和等离子处理系统的运行方法
JP6653722B2 (ja) * 2018-03-14 2020-02-26 株式会社Kokusai Electric 基板処理装置
JP7090469B2 (ja) * 2018-05-15 2022-06-24 東京エレクトロン株式会社 基板処理装置
US10943805B2 (en) * 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
JP7177332B2 (ja) * 2018-07-03 2022-11-24 シンフォニアテクノロジー株式会社 搬送装置
JP7225613B2 (ja) * 2018-09-03 2023-02-21 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
US11192239B2 (en) * 2018-10-05 2021-12-07 Brooks Automation, Inc. Substrate processing apparatus
CN112219269A (zh) * 2018-11-19 2021-01-12 玛特森技术公司 用于加工工件的系统和方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006294786A (ja) 2005-04-08 2006-10-26 Ulvac Japan Ltd 基板搬送システム
KR20080039775A (ko) * 2006-11-01 2008-05-07 주식회사 아이피에스 웨이퍼이송로봇, 이를 이용한 웨이퍼가공시스템 및웨이퍼처리방법
JP2010157736A (ja) 2008-12-29 2010-07-15 Kc Tech Co Ltd 原子層蒸着装置
KR20140044278A (ko) * 2012-10-04 2014-04-14 히라따기꼬오 가부시키가이샤 반입출 로봇

Also Published As

Publication number Publication date
US10872798B2 (en) 2020-12-22
US20200075376A1 (en) 2020-03-05
KR102244352B1 (ko) 2021-04-23
JP7183635B2 (ja) 2022-12-06
JP2020035954A (ja) 2020-03-05

Similar Documents

Publication Publication Date Title
KR20200026138A (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
JP5503006B2 (ja) 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
KR100285408B1 (ko) 기판처리장치,기판반송기 및 기판반송장치
JP4642619B2 (ja) 基板処理システム及び方法
KR102244354B1 (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
KR102355575B1 (ko) 진공 처리 장치
KR20120010945A (ko) 진공처리장치
TWI408766B (zh) Vacuum processing device
KR102433472B1 (ko) 진공 반송 모듈 및 기판 처리 장치
JP6747136B2 (ja) 基板処理装置
CN110491798A (zh) 基板处理装置
KR20110052443A (ko) 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법
KR102491212B1 (ko) 진공 처리 장치 및 기판 반송 방법
KR101336420B1 (ko) 진공 처리 장치
JP2004288719A (ja) 基板搬送装置及び基板処理装置
JP2022531103A (ja) 複数のアライナを含む装置フロントエンドモジュール、アセンブリ、及び方法
JP2017199735A (ja) 基板の入れ替えシステム、基板の入れ替え方法及び記憶媒体
US9962840B2 (en) Substrate conveyance apparatus
KR20210119185A (ko) 이송로봇 및 이를 포함하는 기판처리시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant