JP4912253B2 - 基板搬送装置、基板処理装置及び基板搬送方法 - Google Patents

基板搬送装置、基板処理装置及び基板搬送方法 Download PDF

Info

Publication number
JP4912253B2
JP4912253B2 JP2007223299A JP2007223299A JP4912253B2 JP 4912253 B2 JP4912253 B2 JP 4912253B2 JP 2007223299 A JP2007223299 A JP 2007223299A JP 2007223299 A JP2007223299 A JP 2007223299A JP 4912253 B2 JP4912253 B2 JP 4912253B2
Authority
JP
Japan
Prior art keywords
end effector
substrate
arm
load lock
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007223299A
Other languages
English (en)
Other versions
JP2008060577A5 (ja
JP2008060577A (ja
Inventor
孝幸 山岸
民宏 小林
朗 渡部
邦容 金内
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Publication of JP2008060577A publication Critical patent/JP2008060577A/ja
Publication of JP2008060577A5 publication Critical patent/JP2008060577A5/ja
Application granted granted Critical
Publication of JP4912253B2 publication Critical patent/JP4912253B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Description

本発明は、真空ロードロックシステムを使用した基板処理装置及び基板搬送装置に関する。この発明は更に、半導体基板をバッファリングするためのバッファ機構に関する。
一般に、従来の半導体集積回路を製造するために使用される真空ロードロックシステムを使用した半導体処理装置のチャンバは、ロードロックチャンバと、搬送チャンバと、この搬送チャンバに接続された複数のリアクタ(プロセスチャンバ)とを備える。各チャンバに対し、ウエハを自動的に供給するためにウエハ搬送ロボットが使用される。真空ロードロックシステムを使用した半導体処理装置は、次のように動作する。先ず、大気ロボットがウエハをカセット又は前面ドア付きポッド(FOUP、例えばボックス処理着脱可能カセット及び前面ドアインターフェース)からロードロックチャンバ内へ運ぶ。ロードロックチャンバから空気を抜いた後に、ウエハは、共通の多角形搬送チャンバ内に設けられた真空ロボットによって各リアクタへ搬送される。リアクタ内で処理が終了したウエハは、真空ロボットによってロードロックチャンバへ搬送される。最後に、ロードロックチャンバの内部が大気圧に回復された後に、処理済みウエハは、大気ロボットによってカセット又はFOUPへ搬送される。そのような装置は、一般にクラスターツールと呼ばれる。
従来、クラスターツールは、単一ウエハ処理タイプと、バッチ式ウエハ処理タイプとを有する。単一ウエハ処理タイプは、単一のウエハが各リアクタによって処理されるタイプである。バッチ式ウエハ処理タイプは、複数のウエハが単一のリアクタによって処理されるタイプである。
バッチ式ウエハ処理タイプでは、複数のウエハが単一のリアクタによって処理されるので、生産性は高い。バッチ処理では、ウエハ上に形成された薄膜の膜厚及び膜質の不均一性の発生が頻繁に問題になる。膜厚及び膜質の均一性を改善するために、単一ウエハ処理タイプのウエハ処理装置を使用することが効果的である。
この発明が解決できる問題は、以下の通りである。
従来の単一ウエハ処理タイプのウエハ処理装置を使用して生産性を増加させるために、処理器の数は増加し、フットプリント(必要とされる装置スペース)及びフェースプリント(装置前面のパネル幅)は増加し、そしてコストは増大する。これは、装置が共通の多角形搬送室を有し、それに対し複数のリアクタが放射状に取り付けられるからである。加えて、処理器の数の増加に起因して、装置の故障や保守によって動作が不連続になる場合は、生産性が著しく低下する。
更には、薄膜堆積プロセスでは、プロセス時間が短く、プロセスが連続的になされる場合がしばしばある。これらの理由から、次のウエハをロードロックチャンバ内に待機させておく場合、ウエハ搬送機構は、ダブルアームを持つ必要がある。ウエハ搬送機構にダブルアームを装備させる場合、搬送機構は複雑になり、そしてコストは増大する。加えて、ロードロックチャンバの容積は増加し、従って空気を抜くのに要する時間と大気圧に回復するに要する時間は長くなり、搬送速度律速ファクタは増加する。この結果、スループットは制限される。
更には、ウエハをリアクタ内部に効率的に搬入/搬出する目的で、ダブルアームを持つウエハ搬送機構が良好であるが、正多角形タイプの搬送チャンバを使用する装置でさえ、搬送機構は複雑になり、そしてコストは増大する。
従って、本発明の一実施形態では、低コスト、小フットプリント及び小フェースプリントを実現する基板処理装置が提供される。
更に、本発明の一実施形態では、安定したプロセス及び高スループットを実現する基板処理装置が提供される。
例えば、本発明の一態様によると、真空ロードロックシステムが装備された基板処理装置は、ロードロックチャンバと、このロードロックチャンバの付近に配設された反応チャンバと、ロードロックチャンバ外に配設された搬送ロボットとを備える。ロードロックチャンバは、基板搬送アームを有する。このアームは、薄いリンク型リムと、このリムに接続されて搬送ロボットと反応チャンバとの間で基板を搬送するための複数のエンドエフェクタとからなる。
一態様では、ロードロックチャンバは、共通の環境を共用する2つの並んで配設された区画を備える。各区画は、基板搬送アームが装備されると共に、ゲートバルブを介して反応チャンバに接続されている(即ち、2つの反応チャンバが2つの区画を有する1つのロードロックチャンバに接続されている)。
一態様では、複数のエンドエフェクタは、一度に2つの基板を搬送することが可能であると共に、反応チャンバから後退させることなく、反応チャンバ内で未処理基板をアンロードすると共に処理済基板をロードすることが可能なデュアルエンドエフェクタ(各々が1つの基板を支持する)である。上記において、搬送アームの単一の移動によって、2つの基板がロードロックチャンバから反応チャンバへ、また逆へ、搬送される。例えば、単一搬送アームの1回の伸長及び収縮移動によって、処理済基板が回収される間に未処理基板はバッファリングされる。
一態様では、反応チャンバは、処理済基板又は未処理基板をバッファリング即ち一時的に支持するためのバッファ機構を装備する。反応チャンバのバッファ機構は、デュアルエンドエフェクタ付きの搬送アームと協動する。1つの反応チャンバに対して単一アームが使用される場合でも、複数のエンドエフェクタが装備された単一アームと反応チャンバのバッファ機構を使用することによって、搬送能力は、デュアルアームが使用されるときよりも大きくなり、またロードロックチャンバのサイズは、小さくなる。更に、スループットは、著しく高くなり得る。
一態様では、複数のエンドエフェクタは、個別に操作されない。例えば、第1のエンドエフェクタは、アームの遠端に移動可能に接続され、第2のエンドエフェクタは、第1のエンドエフェクタに固定される。かくして、この搬送アームの動作は、単一エンドエフェクタ付きの搬送アームと異なることはない。
一態様では、バッファリング手段は、1つの処理済又は未処理基板をリアクタ内で一時的に懸架又は支持しながら、もう1つの基板がリアクタ内又は外へ搬送されるようにする。一実施形態では、エンドエフェクタは、基板を支持するためのフランジであり、リムに対し移動可能に接続されている。
この発明と、従来技術に対して達成された利点と、を要約する目的のために、この発明のある目的及び利点が上述されてきた。勿論、そのような目的又は利点の全てが、この発明の特別な実施形態によって必ずしも達成される必要はない、という点が理解されるべきである。かくして、例えば、当業者は、ここで教示又は示唆される他の目的又は利点を達成する必要なしに、ここで教示された1つの利点又は利点のグループを達成又は最適化する手法で、この発明が具体化又は実施されるものである点を認識するであろう。
この発明の別の形態、特徴及び利点は、後述する好ましい実施形態の詳細な説明から明らかとなる。
この発明のこれら及び他の特徴は、好ましい実施形態の図面を参照して以下で説明される。これらの図面は、この発明を図解しようとするものであって、制限しようとするものではない。
この発明は、好ましい実施形態を参照して以下で詳細に説明される。しかしながら、好ましい実施形態は、本発明を制限しようとするものではない。
1)反応チャンバ内で基板をロード及びアンロードするための基板搬送装置は、(a)直線方向に水平に移動可能な遠端を有したアームと、(b)反応チャンバ内で基板をロード及びアンロードするための、下側エンドエフェクタ及び上側エンドエフェクタを含むエンドエフェクタとを備える。下側エンドエフェクタ又は上側エンドエフェクタの一方は、アームの遠端でアームに移動可能に結合され、そして下側エンドエフェクタ又は上側エンドエフェクタの他方は、移動可能に結合されたエンドエフェクタに固定される。移動可能に結合されたエンドエフェクタは、前側、後側、右側、及び左側を有し、そして固定されたエンドエフェクタは、移動可能に結合されたエンドエフェクタに右及び左側で固定された複数の部分からなる。
上記実施形態は、限定されるものではないが、以下の実施形態を更に含む。
1)において、2)移動可能に結合されたエンドエフェクタは下側エンドエフェクタであり、そして固定されたエンドエフェクタは上側エンドエフェクタである。
1)又は2)において、3)移動可能に結合されたエンドエフェクタの前及び後側は、移動可能に結合されたエンドエフェクタの水平移動の方向上にある。
1)〜3)のいずれかにおいて、4)アームは、エンドエフェクタを水平且つ直線的に1つの方向に移動させるように構成される。
1)〜4)のいずれかにおいて、5)アームは、ジョイントによって互いに移動可能に結合された近端リンクと遠端リンクとを備え、そしてエンドエフェクタは、ジョイントによって遠端リンクに結合される。
1)〜5)のいずれかにおいて、6)この装置は、ロードロックチャンバを更に備え、アーム及びエンドエフェクタは、アーム及びエンドエフェクタが後退位置にあるときに、その中に配設される。
2)に言及した1)〜6)のいずれかにおいて、7)上側エンドエフェクタは、互いに平行に配設されると共に下側エンドエフェクタの右及び左側にそれぞれ固定された2つの長尺な部分からなる。
7)において、8)上側エンドエフェクタの各長尺な部分は、2つの基板接触部分を持ち、そして下側エンドエフェクタは、4つの基板接触部分を持つ。
もう1つの実施形態において、9)基板処理装置は、(A)ロードロックチャンバと、(B)このロードロックチャンバに対しゲートバルブを介して接続された少なくとも1つの反応チャンバと、(C)ロードロックチャンバ内に配設された1)〜8)のいずれかの基板搬送装置とを備える。アーム及びエンドエフェクタは、反応チャンバ内で基板をロード及びアンロードするために開かれたときのゲートバルブを通して、反応チャンバまで伸長可能である。
上記実施形態は、限定されるものではないが、以下の実施形態を更に含む。
9)において、10)この装置は、反応チャンバ内で基板をロード及びアンロードするために開かれたときのゲートバルブを介してロードロックチャンバに接近可能な大気ロボットアームを更に備える。
9)又は10)において、11)反応チャンバは、反応チャンバ内で基板を待機させておくためのバッファ機構を装備する。
更にもう1つの実施形態では、12)ゲートバルブを介して接続された反応チャンバとロードロックチャンバとの間で基板を搬送する方法は、(i)ロードロックチャンバ内で未処理基板を搬送アームの上側エンドエフェクタ上にロードする工程と、(ii)反応チャンバ内で処理済基板がその上に載置されるサセプタを下降させて、サセプタから上向きに延びたリフトピンで処理済基板を支持する工程と、(iii)ゲートバルブを開くときに、搬送アームをロードロックチャンバから反応チャンバへ水平に延ばして、リフトピンで支持された処理済基板が搬送アームの上側エンドエフェクタと下側エンドエフェクタとの間に配置され、未処理基板は上側エンドエフェクタに配置されるようにする工程と、(iv)反応チャンバ内に設けられたバッファアームを使用して未処理基板を支持し、未処理基板をバッファアーム上にロードする工程と、(v)未処理基板を保持したバッファアームを上昇させる一方でリフトピンを下降させて、処理済基板を下側エンドエフェクタ上に配置する工程と、(vi)搬送アームを反応チャンバからロードロックチャンバへ後退させると共にゲートバルブを閉じる工程と、(vii)未処理基板を保持したバッファアームを下降させて、サセプタから上向きに延びたリフトピンで未処理基板を支持する工程と、(viii)サセプタを上昇させて、サセプタ上に未処理基板をロードする工程と、(ix)処理済基板を下側エンドエフェクタからアンロードすると共にロードロックチャンバ内で工程(i)を実行しながら反応チャンバ内で未処理基板を処理した後、工程(ii)から(ix)を実行する工程とを備える。
上記実施形態は、限定されるものではないが、以下の実施形態を更に含む。
12)において、13)搬送アームは、1)〜8)の搬送アームのいずれかから選択される。
別のもう1つの実施形態において、14)第1のチャンバと第2のチャンバとの間で基板を搬送する方法は、(i)第1のチャンバ内で第1の基板を搬送アームの上側エンドエフェクタ上にロードする工程と、(ii)搬送アームを第1のチャンバから第2のチャンバへ水平に延ばして、第2のチャンバ内で第2の基板が搬送アームの上側エンドエフェクタと下側エンドエフェクタとの間に配置され、第1の基板は上側エンドエフェクタ上に配置されるようにする工程と、(iii)第1の基板を上側エンドエフェクタからアンロードすると共に第2の基板を下側エンドエフェクタ上にロードすると工程と、(iv)搬送アームを第2のチャンバから第1のチャンバへ後退させる工程と、(v)第2の基板を下側エンドエフェクタからアンロードすると共に第1のチャンバ内で工程(i)を実行した後、工程(ii)から(v)を実行する工程とを備える。
14)において、15)搬送アームは、1)〜8)の搬送アームのいずれかから選択される。
13)又は14)において、16)第1のチャンバはロードロックチャンバであり、第2のチャンバは反応チャンバであり、第1の基板は未処理基板であり、第2の基板は処理済基板である。
別の実施形態において、17)基板処理装置は、(a)ロードロックチャンバと、(b)このロードロックチャンバに対しゲートバルブを介して接続された少なくとも1つの反応チャンバと、(c)ロードロックチャンバと反応チャンバとの間で基板を搬送すると共に、未処理基板と処理済基板を、反応チャンバから後退させることなく、反応チャンバ内で待機させておくための手段とを備える。
上記において、基板は、任意のタイプの基板、例えば、限定されるものではないが、半導体ウエハである。
本発明は、図面を参照して以下で更に説明される。しかしながら、図面は実施形態を示すものであって、本発明を制限しようとするものではない。
図1は、半導体ウエハ上に薄膜を形成するための本発明の一実施形態に係るウエハ処理装置を示す例示的平面図である。この装置は、FOUP106と、大気ロボット105がその中に配設される小環境と、ロードロックチャンバ2と、ゲートバルブ13を介してロードロックチャンバ2に接続された反応チャンバ1とを備える。ロードロックチャンバ2は、2つの区画からなり、各区画は、デュアルエンドエフェクタ31,33付き搬送アーム3を装備されている(図4及び5参照)。ロードロックチャンバ2と2つの反応チャンバ1は、モジュール又はリアクタユニットを構成する。この処理装置は、上記構成に限定されるものではなく、任意の好適な構成、例えば特許文献1(米国特許第6,630,053号)に開示されている構成を持つことができる。この米国特許の開示は、ここに参照文献として組み込まれる。
米国特許第6,630,053号明細書
大気ロボット105は、水平方向に前後左右に移動して、FOUP106と反応チャンバ1との間で基板を搬送することができる。更に、大気ロボット105は、垂直方向に移動することができる。このため、大気ロボットは、上側エンドエフェクタ33に、また下側エンドエフェクタ31に位置決めされ得る。即ち、大気ロボット105は、ロードロックチャンバ2内で下側エンドエフェクタ31から基板(例えば、処理済基板)をアンロードすると共に、それをFOUP106へ運ぶことができる。また、大気ロボット105は、基板(例えば、未処理基板)をFOUP106から運ぶと共に、それを上側エンドエフェクタ33にロードすることができる。図3(a)は、ロードロックチャンバ2及び大気ロボット105の例示的平面図であり、また図3(b)は、ロードロックチャンバ2及び大気ロボット105の例示的側面図である。大気ロボット105は、ロードロックチャンバ2と小環境との間でゲートバルブ104を介して基板を搬送することができる。本発明の一実施形態に係る動作シーケンスでは、大気ロボット105は、下側エンドエフェクタ31上に(即ち、下側エンドエフェクタ31の支持部材32上に)載置された処理済基板をアンロードすると共にそれをFOUP106へ運び、それから大気ロボット105は、未処理基板をFOUPから取ると共にそれを上側エンドエフェクタ33に(即ち、上側エンドエフェクタ33の段部34上に)ロードする。上記順序は、反転可能である。上記動作中に、エンドエフェクタとアームは移動しないで、ロードロックチャンバ2内に留まる。変形例では、大気ロボット105は垂直方向に移動しないが、エンドエフェクタとアームが垂直方向に移動することができる。この結果、大気ロボット105は、エンドエフェクタとの間で基板をロード及びアンロードすることができる。
図2(a)は、図1に示されたリアクタユニットの例示的平面図であり、図2(b)は、ロードロックチャンバと反応チャンバからなる本発明の一実施形態に係るモジュールの変形側面図である。半導体ウエハ12上に膜を成長させるためのリアクタ1と、半導体ウエハ12を真空中で待機させるためのロードロックチャンバ2とが設けられている。このロードロックチャンバ2は、ゲートバルブ13を介してリアクタ1に直接接続されている。更に、ロードロックチャンバ2内部にウエハ搬送アーム3が設けられている。このウエハ搬送アームは、半導体ウエハ12をリアクタ1内に搬送するための1つの薄いリンク型アームシャフトを有する。
リアクタ1には、半導体ウエハ12を載置するサセプタ14と、反応ガスのジェットを半導体ウエハ12へ均一に導入するためのシャワープレート(図示せず)とが設けられている。プラズマCVD(PECVD)では、サセプタ14とシャワープレートが全体として高周波電力用電極を構成する。サセプタ14とシャワー板との距離を短縮することによって、プラズマ反応領域は縮小される。反応チャンバ又はリアクタは、PECVDチャンバである必要はないが、任意のタイプの反応、例えばCVD、PVD、及びALD(原子層堆積)用の任意の好適なチャンバであっても良い。
サセプタ14の円周付近では、均等な間隔で取り付けられた少なくとも3つのウエハリフトピン15がサセプタを垂直に貫通している。ウエハリフトピン15は、シリンダ6によって上下動することができる。
サセプタ14の周辺付近には、少なくとも一対のバッファ機構が設けられている。関係しているバッファ機構は、(上側エンドエフェクタ34上に載置された)半導体ウエハ12を支持するための少なくとも2つ(例えば、2,3又は4つ)の支持手段(4,5)を有する。これら支持手段は、サセプタ14の回りに設けられていて、水平方向に回転する。支持手段の回転は同期し、そして半導体ウエハをバッファリングするときにのみ、支持手段はサセプタ14の内部に向かって回転してウエハを支持する。支持手段(4,5)は、幅2mmから5mmの薄板材料からなることが好ましい。支持手段(4,5)の形状は、その形状がウエハ12を安定して支持することができる限り、制限されるものではない。支持手段とウエハの接触面積が大きい場合、汚染を生ずる。この理由から、ウエハの裏面に接触する支持手段(4,5)の部分の形状は、その部分がウエハの裏面と線接触するように、円周に沿って湾曲する形状であることが好ましい。加えて、支持手段(4,5)に使用される材料は、汚染を回避するために、セラミックかアルミニウムが好ましい。支持手段(4,5)の各々は、シャフト手段17の上端に結合されると共に垂直に支持されている。シャフト手段17の下端は、上下アクチュエータ8及びロータリアクチュエータ9に結合され、そして支持手段は、ロータリアクチュエータによって回転させられる。シャフト手段17、上下アクチュエータ8、及びロータリアクチュエータ9は、ベローズ10によって外部から隔離されている。更には、シャフト手段17は、Oリング16によってシールされることが好ましい。ロータリアクチュエータ9は、電気的に又は空気圧によって動作させることができる。シャフト手段17の下端に対し、ロータリアクチュエータ9は動的に接続されている。上下アクチュエータ8は、シャフト手段17を上下に移動させることができ、このことによって、支持手段(4,5)は同様に上下に移動する。上下アクチュエータ8は、電気的に又は空気圧によって動作させることができる。シャフト手段17の直径は、8mmから16mmの範囲内にあることが好ましい。シャフト手段17に使用される材料は、汚染を回避するために、セラミックかアルミニウムが好ましい。
図6(a)及び6(b)は、本発明の一実施形態に係るバッファ機構を示している。図6(a)は、バッファ機構の底部端から見た分解斜視図である。図6(b)は、作動部分の部分断面斜視図である。支持手段は、バッファフィン4である。部分25は、リアクタの底部に固定されている。バッファフィンは、上下アクチュエータ8を使用して上下動するメインシャフト17に取り付けられている。上下アクチュエータは、メインシャフトの両側に配設されたスライドシャフト23を持つ。メインシャフト17は、ベローズ10内に包含されると共に、Oリング24でシールされている。このため、メインシャフト17がリアクタ内で回転及び上昇/下降する場合でさえ、リアクタの内部は外部からシールされている。メインシャフト17は、ロータリアクチュエータ9を使用して回転する。バッファフィン4の高さは、センサドッグ21及び光電センサ22を使用して制御される。一実施形態では、バッファフィン4は、3つの高さ、即ち高(バッファ位置)、中(アンローディング/ローディング位置)、及び低(底位置)をもつことができる。
ここで注意すべき点は、図1に示された半導体処理装置は1つのロードロックチャンバ(2つの区画を持つ)と、このロードロックチャンバに直接接続された2つのリアクタとを備えるが、本発明は、この実施形態に限定されるものではない、という点である。例えば、単一の区画を持つロードロックチャンバと、単一のリアクタとを接続することができる。しかしながら、図1に示された2つのユニットを並列に配置すると共に1つのロードロックチャンバが共通に使用されるようにすることによって、且つ独立した搬送システムを使用することによって、2つのウエハは同時にリアクタへ搬送され、これらウエハは2つのリアクタ内で同時に処理され得る。加えて、一実施形態のバッファ機構は、任意の好適な単一ウエハ処理式リアクタを有する全ての半導体処理装置に適用可能である。例えば、バッファ機構は、ロードロックチャンバとリアクタが搬送チャンバを介して接続される任意の好適な単一ウエハ処理式の半導体処理装置(例えば、日本エー・エス・エム社製Eagle10(商標))に適用可能である。
図2(b)に示された半導体処理装置のバッファ機構が使用されない場合の動作シーケンスが説明される。先ず、大気ロボットは、半導体ウエハ12をカセット又はFOUPからフラッパ弁20を通してそれぞれのロードロックチャンバ2に搬入する。ウエハの搬入が終了した後に、フラッパ弁20は閉じられ、そしてドライポンプ(図示せず)によってロードロックチャンバ2が排気される。ゲートバルブ13は開かれ、薄いリンク型アームを持つウエハ搬送アーム3はロータリアクチュエータ11によって延び、そして半導体ウエハ12はリアクタ1内のサセプタ14上へ搬送される。リンク型アームを備えるウエハ搬送アーム3は、エンドエフェクタ31に遠端18で移動可能に接続されているので、エンドエフェクタは、ロードロックチャンバ2とリアクタ1の間を直線方向に往復動することができる。この構成は、機械的な位置決め調整しか要しない。基板リフトピン15はサセプタ14の表面から突出して、半導体ウエハ12を支持する。ウエハ搬送アーム3はロードロックチャンバ2内に配置され、そしてゲートバルブ13は閉じられる。サセプタ14はサセプタ駆動モータ7によって上昇し、半導体ウエハ12はサセプタ14の上に載置される。その後、半導体ウエハ12上への薄膜堆積処理が開始される。薄膜堆積処理が終了した後に、今度は逆に動作シーケンスの順番をトラックバックすることによって、処理済み半導体ウエハは、カセット又はFOUPへ搬送される。アーム3は、アクチュエータに接続されるように構成された近端を持つと共に、複数の水平移動軸で水平に移動できる任意のタイプでよい。アーム3は、3つの水平移動度を持ち、(エンドエフェクタに移動可能に接続された)アーム3の遠端18が(ロードロックチャンバ2と反応チャンバ1の間で)直線方向に移動できるようにする。
上述したように、バッファ機構を持たない半導体処理装置では、リアクタ毎に1つの搬送アームしか存在しないので、スループットが搬送速度律速ファクタによって制限される問題がある。リアクタ内のバッファ機構は、特許文献1(米国特許第6,860,711号)に記載されているように、この問題を解決することができる。この特許の開示は、ここに参考文献として組み込まれる。本発明の一実施形態では、複数のエンドエフェクタを持つ搬送アームと組み合わせることによって、スループットは、特許文献2(米国特許第6,860,711号)と比べて著しく向上する。
米国特許第6,860,711号明細書
図4は、本発明の一実施形態に係るエンドエフェクタの例示的側面図である(下側エンドエフェクタ31は透明であるように描かれており、上側エンドエフェクタ33と下側エンドエフェクタ31は重なっている)。図5は、本発明の一実施形態に係るエンドエフェクタの模式的斜視図である。エンドエフェクタは、下側エンドエフェクタ31と上側エンドエフェクタ33とにより構成され、そして下側エンドエフェクタ31の近端は、搬送アーム、例えば3つの水平移動軸が与えられた図1の搬送アームに対し移動可能に接続されている。エンドエフェクタは、図5の矢印35,36によって示される方向に移動する。そして、方向36は、ロードロックチャンバに向かう方向である。図5において、基板12’及び12”は、図解目的のために透明板として示されている。下側エンドエフェクタ31上には、処理済基板12”の周辺を支持するための4つの支持部材32が設けられている。上側エンドエフェクタ33は、下側エンドエフェクタ31の右及び左側に配設された2つのサイド部材からなる。このため、上側エンドエフェクタは、バッファフィン及び大気ロボットを使用した基板のローディング及びアンローディングを妨害することがない。上側エンドエフェクタ33の各サイド部材は、未処理基板12’の周辺に対応した段部34を有する。
一実施形態では、段部の高さは約2mm(±50%)であり、支持部材32の高さは約2.3mm(±50%)であり得る。上側エンドエフェクタ33と下側エンドエフェクタ31間の距離(上下基板間の距離によって規定される)は、一実施形態では約13mm(±50%)であり得る(図4では、この距離は12.1mmである)。この距離は、バッファフィン及び大気ロボットを含む装置の他の構成に依存して調整可能である。上側エンドエフェクタの長尺なサイド部材33の形状は、バッファフィンが基板の周辺に水平に接近して、基板をピックアップ及びバッファリングできるようにするものである。一実施形態では、バッファフィンによって基板を支持するためのクリアランスは、約10mm(±50%)であり得る(図4では、このクリアランスは10.2mmである)。更に、上側エンドエフェクタの2つの長尺部材33は、移動方向に関して、下側エンドエフェクタ31の右及び左側に配設されている。そして、2つの長尺部材33は分離されているので、基板は、上側及び下側エンドエフェクタ間に挿入され、下側エンドエフェクタ31上にロードされ得る。一実施形態では、2つの長尺部材33間の距離は、基板の外径よりも僅かに大きいが、エンドエフェクタは、ゲートバルブを通過するために、ゲートバルブの幅よりも小さくなければならない。下側エンドエフェクタ31は、エンドエフェクタ31がリフトピンの移動を妨害しないような構成を有する。上記において、処理済基板は、リフトピンを使用して下側エンドエフェクタ31上にロードされるのに対し、未処理基板は、バッファフィンを使用して上側エンドエフェクタ33からアンロードされる。エンドエフェクタは、構成全体に依存して、少なくとも上記機能を達成するように設計されている。
上側エンドエフェクタは、一実施形態ではアルミニウムセラミック又は表面陽極酸化アルミニウムである。下側エンドエフェクタは、一実施形態ではアルミニウムセラミックである。支持部材は、一実施形態ではセラゾール(商標)(ポリベンゾイミダゾール樹脂)、ポリイミド樹脂、又はピーク(商標)ポリマー、表面陽極酸化アルミニウム等である。
図4及び5では、下側エンドエフェクタ31は、移動可能にアームに接続されている。しかしながら、もう1つの実施形態では、上側エンドエフェクタは移動可能にアームに接続され、そして下側エンドエフェクタは上側エンドエフェクタに固定される。例えば、下側エンドエフェクタは、図4及び5の下側エンドエフェクタのようにL字型断面を有するが、基板の周辺を支持するための内部突起に段部が形成されている。更に、支持部材は、上側エンドエフェクタの上面に配設されている。
図4及び5では、上側エンドエフェクタ33の2つの長尺部材は、下側エンドエフェクタ31の右及び左側にそれぞれ配設されている。しかしながら、もう1つの実施形態では、3又は4以上の別々の部材が、下側エンドエフェクタの外周又は近傍に配設され得る。
以下、本発明の一実施形態に係るバッファ機構を使用した動作シーケンスが詳細に説明される。図7は、一実施形態において未処理ウエハ及び処理済ウエハをバッファリングするときの動作シーケンスを示す。図8は、一実施形態におけるリアクタ(例えば、日本エー・エス・エム社製のウエハバッファ機構付きドラゴン(商標)反応チャンバ)の動作の模式図を示す。先ず、未処理基板は、ロードロックチャンバ内で搬送アームの上側エンドエフェクタ上にロードされる(プロセス1)。反応チャンバ内でその上に未処理基板を載置するサセプタが降下される。これにより、サセプタから上向きに延びたリフトピンで処理済基板を支持する(初回は、反応チャンバ内に処理済基板は無い)(プロセス2)。ゲートバルブを開くときに、搬送アームはロードロックチャンバから反応チャンバへ水平に延ばされる。これにより、リフトピンで支持された処理済基板は、搬送アームの上側エンドエフェクタと下側エンドエフェクタの間に配置され、未処理基板は、上側エンドエフェクタ上に配置される(プロセス3)。アンローディング/ローディング位置にあるバッファアームは、未処理基板に向かって水平方向に回転する。そして、未処理基板は、反応チャンバ内に設けられたバッファアームを使用して支持される。これにより、未処理基板をバッファアーム上にロードする(プロセス4)。バッファアームは未処理基板を伴ってバッファ位置へ上昇されるのに対し、リフトピンを下げて未処理基板を下側エンドエフェクタ上に載置する(プロセス5)。搬送アームは、反応チャンバからロードロックチャンバへ引き戻される(プロセス6)。それからゲートバルブが閉じられる(プロセス7)。バッファアームは未処理基板を伴って底位置へ降下され、これによりサセプタから上向きに延びたリフトピンで未処理基板を支持する(プロセス8)。バッファアームは水平方向に未処理基板から離れてそのホーム位置へ回転する(プロセス9)。それからサセプタは上昇され、そしてリフトピンは後退させられる。これにより未処理基板をサセプタ上にロードする(プロセス10)。プロセス10の後に、1つの処理法、例えば堆積法が開始できる。ロードロックチャンバ内の処理済基板は、下側エンドエフェクタからアンロードされ、そしてプロセス1がロードロックチャンバ内で行われる一方で、未処理基板を反応チャンバ内で処理し、その後プロセス2〜10を行う。
図7に示すように、この実施形態では、ロードロックチャンバ内で見られるように、エンドエフェクタ上で未処理基板を処理済基板と交換するための時間間隔(プロセス1の開始からプロセス7の終了まで)は、7.7秒であった。これはスループットについて著しい改良をもたらす。特許文献2‘米国特許第6,860,711号)では、バッファ機構が使用されているが、ロードロックチャンバ内で見られるように、エンドエフェクタ上で未処理基板を処理済基板と交換するための時間間隔は、約20秒であった。この実施形態で使用されたシーケンスは大いに効果的である。
バッファ機構は、垂直及び水平に移動可能であると共に基板をバッファリング可能な任意の好適なバッファ機構であり得る。一実施形態では、バッファ機構は上側エンドエフェクタ上で基板をバッファリングするのに対し、リフトピンは下側エンドエフェクタ上で基板をバッファリングする。
基板を搬送する方法は、半導体製造以外の任意の好適な応用に適用可能である。基板は、第1のチャンバと第2のチャンバとの間で搬送され得る。基板は、任意のタイプの基板であり得る。一実施形態では、第1の基板は、第1のチャンバ内で搬送アームの上側エンドエフェクタ上にロードされる(プロセス(i))。搬送アームは、第1のチャンバから第2のチャンバへ水平に延ばされ、これにより第2のチャンバ内の第2の基板は、搬送アームの上側エンドエフェクタと下側エンドエフェクタとの間に配置され、第1の基板は、上側エンドエフェクタ上に配置される(プロセス(ii))。第1の基板は上側エンドエフェクタからアンロードされ、そして第2の基板を下側エンドエフェクタ上にロードする(プロセス(iii))。搬送アームは、第2のチャンバから第1のチャンバへ後退させられる(プロセス(iv))。第2の基板は下側エンドエフェクタからアンロードされ、そしてプロセス(i)が第1のチャンバ内で行われ、その後プロセス(ii)〜(v)が実行される。
本発明の少なくとも1つの実施形態は、少なくとも次の効果を奏することができる。これらの効果は、全ての実施形態を制限することを意図したものではない。
デュアルエンドエフェクタとバッファ機構との組合せによって、処理済又は未処理ウエハをリアクタ内で待機させることによって、処理済基板及び未処理基板は非常に効率的に切り換えられる。そのようにする場合、リアクタ毎に1つの搬送アームを有する構成にもかかわらず、ダブルアーム付き装置よりも大きな能力を持つ装置が達成され得る。この結果、搬送速度律速ファクタによって引き起こされる問題は解決され、そして安定したプロセス及び極めて高いスループットを実現する半導体処理装置が実現され得る。
加えて、ロードロックチャンバの容積は、従来のダブルアーム付き装置と比べて低減されるので、低コスト、小フットプリント及び小フェースプリントを実現する半導体処理装置が提供され得る。
諸条件及び/又は構造が特定されていない本開示では、当業者は、本開示を考慮して、そのような条件及び/又は構造を、日常的な実験の問題として、容易に与えることができる。
当業者によって理解されるように、本発明の思想から逸脱することなく、多数且つ種々の変形がなされる。それ故、本発明の形式は例示に過ぎず、本発明の範囲を限定することが意図されたものではない、と明瞭に理解されるべきである。
図1は、 FOUP、小環境、及びリアクタユニットを有する本発明の一実施形態に係る装置の例示的平面図である。 図2(a)は 図1に示されたリアクタユニットの例示的平面図である。図2(b)はウエハリフトピン及びバッファリング機構が示され得るように変形された本発明の一実施形態に係るリアクタユニットの変形側面図である。 図3(a)は 図1に示されたロードロックチャンバ及び大気ロボットの例示的平面図である。図3(b)は 図1に示されたロードロックチャンバ及び大気ロボットの例示的側面図である。 図4は、本発明の一実施形態に係るエンドエフェクタの例示的側面図である。 図5は、本発明の一実施形態に係るエンドエフェクタの模式的斜視図である。 図6(a)は本発明の一実施形態に係るバッファ機構の底部端から見た分解斜視図である。図6(b)は本発明の一実施形態に係る作動部分の部分断面斜視図である。 本発明の一実施形態に係る未処理ウエハ及び処理済ウエハをバッファリングするときの動作シーケンスを示す。 本発明の一実施形態に係るリアクタ動作の模式図を示す。
符号の説明
1:リアクタ
2:ロードロックチャンバ
3:搬送アーム
4:支持手段
5:支持手段
6:シリンダ
7:サセプタ駆動モータ
8:上下アクチュエータ
9:ロータリアクチュエータ
10:ベローズ
11:ロータリアクチュエータ
12:半導体ウエハ
13:ゲートバルブ
14:サセプタ
15:ウエハリフトピン
16:Oリング
17:メインシャフト
20:フラッパ弁
21:センサドッグ
21:光電センサ
23:スライドシャフト
24:Oリングシール
25:リアクタの底部に取り付けられる部分
31:下側エンドエフェクタ
32:支持部材
33:上側エンドエフェクタ
34:段部
35:移動方向
36:後退方向
104:ゲートバルブ
105:大気ロボット
106:FOUP又はカセット

Claims (8)

  1. 反応チャンバ内で円形の基板をロード及びアンロードするための基板搬送装置であって、
    直線方向に水平に移動可能な遠端を有したアームと、
    前記反応チャンバ内で前記基板をロード及びアンロードするための、下側エンドエフェクタ及び上側エンドエフェクタを含むエンドエフェクタと、
    前記反応チャンバ内で前記基板を待機させておくために、サセプタ周辺に設けられたバッファ機構とを備え、
    前記下側エンドエフェクタは、前記アームの遠端で前記アームに移動可能に結合され、そして前記上側エンドエフェクタは、前記移動可能に結合された前記下側エンドエフェクタに固定され、
    前記下側エンドエフェクタは、前側、後側、右側、及び左側を有し、そして前記上側エンドエフェクタは、前記下側エンドエフェクタに右及び左側で固定された複数の部分からなり、
    前記下側エンドエフェクタは前記基板の周辺を支持する形状の、複数個の支持部材を有し、前記上側エンドエフェクタは前記基板の周辺に対応する形状の、複数個の段部を有して成り、
    前記バッファ機構により未処理基板が前記リアクタ内で一時的に支持され、前記エンドエフェクタにより処理済基板が前記リアクタから搬送されることを特徴とする基板搬送装置。
  2. 前記下側エンドエフェクタの前及び後側は、前記下側エンドエフェクタの水平移動の方向上にある請求項1に記載の基板搬送装置。
  3. 前記アームは、前記エンドエフェクタを水平且つ直線的に1つの方向に移動させるように構成されている請求項1に記載の基板搬送装置。
  4. 前記アームは、ジョイントによって互いに移動可能に結合された近端リンクと遠端リンクとを備え、そして前記下側エンドエフェクタは、ジョイントによって前記遠端リンクに結合されている請求項に記載の基板搬送装置。
  5. ロードロックチャンバを更に備え、前記アーム及び前記エンドエフェクタは、前記アーム及び前記エンドエフェクタが後退位置にあるときに、その中に配設される請求項1に記載の基板搬送装置。
  6. 前記上側エンドエフェクタは、互いに平行に配設されると共に前記下側エンドエフェクタの右及び左側にそれぞれ固定された2つの長尺な部分からなる請求項に記載の基板搬送装置。
  7. ロードロックチャンバと、
    該ロードロックチャンバに対しゲートバルブを介して接続された少なくとも1つの反応チャンバと、
    前記ロードロックチャンバ内に配設された請求項1の基板搬送装置とを備え、
    アーム及びエンドエフェクタは、反応チャンバ内で基板をロード及びアンロードするために開かれたときのゲートバルブを通して、前記反応チャンバまで伸長可能であることを特徴とする基板処理装置。
  8. ゲートバルブを介して接続された反応チャンバとロードロックチャンバとの間で円形の基板を搬送する基板搬送方法であって、
    (i)前記ロードロックチャンバ内で未処理基板を搬送アームの上側エンドエフェクタ上にロードする工程と、
    (ii)前記反応チャンバ内で処理済基板がその上に載置されるサセプタを下降させて、前記サセプタから上向きに延びたリフトピンで処理済基板を支持する工程と、
    (iii)前記ゲートバルブを開くときに、前記搬送アームを前記ロードロックチャンバから前記反応チャンバへ水平に延ばして、前記リフトピンで支持された処理済基板が前記搬送アームの前記上側エンドエフェクタと下側エンドエフェクタとの間に配置され、未処理基板は上側エンドエフェクタに配置されるようにする工程と、
    (iv)前記反応チャンバ内のサセプタ周辺に設けられたバッファ機構のバッファアームを使用して未処理基板を支持し、未処理基板を前記バッファアーム上にロードする工程と、
    (v)未処理基板を保持した前記バッファアームを上昇させる一方で前記リフトピンを下降させて、処理済基板を前記下側エンドエフェクタ上に配置する工程と、
    (vi)前記搬送アームを前記反応チャンバから前記ロードロックチャンバへ後退させると共に前記ゲートバルブを閉じる工程と、
    (vii)未処理基板を保持した前記バッファアームを下降させて、前記サセプタから上向きに延びた前記リフトピンで未処理基板を支持する工程と、
    (viii)前記サセプタを上昇させて、前記サセプタ上に未処理基板をロードする工程と、
    (ix)処理済基板を前記下側エンドエフェクタからアンロードすると共に前記ロードロックチャンバ内で工程(i)を実行しながら前記反応チャンバ内で未処理基板を処理した後、工程(ii)から(ix)を実行する工程と
    を備え、
    前記搬送アームは、アクチュエータに接続されるように構成された近端を有して、複数の水平移動軸で水平に移動するアームと、前記下側エンドエフェクタ及び前記上側エンドエフェクタとを備え、
    前記下側エンドエフェクタは、前記アームの遠端で該アームに移動可能に結合され、そして前記上側エンドエフェクタは、前記下側エンドエフェクタに固定され、
    前記下側エンドエフェクタは、水平移動の方向に関して前側、後側、右側、及び左側を有し、そして前記上側エンドエフェクタは、前記下側エンドエフェクタに右及び左側で固定された複数の部分からなり、
    前記下側エンドエフェクタは前記基板の周辺を支持する形状の、複数個の支持部材を有し、前記上側エンドエフェクタは前記基板の周辺に対応する形状の、複数個の段部を有して成る、ことを特徴とする基板搬送方法。
JP2007223299A 2006-08-30 2007-08-29 基板搬送装置、基板処理装置及び基板搬送方法 Active JP4912253B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/512,637 US7690881B2 (en) 2006-08-30 2006-08-30 Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
US11/512637 2006-08-30

Publications (3)

Publication Number Publication Date
JP2008060577A JP2008060577A (ja) 2008-03-13
JP2008060577A5 JP2008060577A5 (ja) 2010-08-26
JP4912253B2 true JP4912253B2 (ja) 2012-04-11

Family

ID=39151768

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007223299A Active JP4912253B2 (ja) 2006-08-30 2007-08-29 基板搬送装置、基板処理装置及び基板搬送方法

Country Status (6)

Country Link
US (1) US7690881B2 (ja)
JP (1) JP4912253B2 (ja)
KR (1) KR20080020517A (ja)
CN (1) CN101136349B (ja)
DE (1) DE102007041033A1 (ja)
TW (1) TW200811985A (ja)

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI456683B (zh) * 2007-06-29 2014-10-11 Ulvac Inc 基板搬送機器人
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
JP5249098B2 (ja) * 2009-03-17 2013-07-31 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101099555B1 (ko) * 2010-01-12 2011-12-28 세메스 주식회사 기판 처리 장치
CN101893879B (zh) * 2010-06-01 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体化学气相沉积设备的载板传输控制方法及系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102420161A (zh) * 2011-11-23 2012-04-18 北京七星华创电子股份有限公司 一种运送晶圆状物件的装置及方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130287529A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Method and apparatus for independent wafer handling
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102098613B1 (ko) * 2013-03-13 2020-04-08 카티바, 인크. 가스 인클로저 시스템 및 보조 인클로저를 이용하는 방법
TWI692414B (zh) * 2013-03-13 2020-05-01 美商凱特伊夫公司 用於維護列印系統的方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103560102B (zh) * 2013-10-29 2016-04-27 中国科学院苏州纳米技术与纳米仿生研究所 一种机械手操作系统
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
EP3087623B1 (en) 2013-12-26 2021-09-22 Kateeva, Inc. Thermal treatment of electronic devices
EP3975229A1 (en) 2014-01-21 2022-03-30 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR102177898B1 (ko) 2014-04-30 2020-11-12 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105702611B (zh) * 2014-11-25 2018-09-07 理想能源设备(上海)有限公司 一种负载传输装置与方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102181121B1 (ko) * 2016-09-20 2020-11-20 주식회사 원익아이피에스 기판 이송 장치 및 기판 이송 장치의 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN106290396B (zh) * 2016-10-25 2018-12-18 东方晶源微电子科技(北京)有限公司 样品缺陷检测装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10186449B2 (en) 2016-12-31 2019-01-22 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ALD process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20200078773A (ko) * 2018-12-21 2020-07-02 세메스 주식회사 반전 유닛 및 이를 가지는 기판 처리 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
USD938373S1 (en) 2019-10-25 2021-12-14 Applied Materials, Inc. Substrate transfer structure
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11335578B2 (en) * 2020-02-13 2022-05-17 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer apparatus and method of measuring positional deviation of substrate
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
JPH07136954A (ja) * 1993-11-24 1995-05-30 Mitsubishi Electric Corp ロボットおよびそのアームの駆動制御方法
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
JP3559139B2 (ja) * 1997-03-21 2004-08-25 大日本スクリーン製造株式会社 基板処理装置
US6048162A (en) * 1997-08-28 2000-04-11 Cvc Products, Inc. Wafer handler for multi-station tool
US6213853B1 (en) 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JP4312965B2 (ja) * 1999-01-12 2009-08-12 東京エレクトロン株式会社 真空処理装置
US6630053B2 (en) 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
JP2002158272A (ja) 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
US20020102859A1 (en) 2001-01-31 2002-08-01 Yoo Woo Sik Method for ultra thin film formation
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
JP2003037146A (ja) * 2001-07-24 2003-02-07 Asm Japan Kk バッファ機構を有する半導体製造装置及び方法
JP2003037147A (ja) * 2001-07-25 2003-02-07 Tokyo Electron Ltd 基板搬送装置及び熱処理方法
US7100340B2 (en) * 2001-08-31 2006-09-05 Asyst Technologies, Inc. Unified frame for semiconductor material handling system
US20030053904A1 (en) * 2001-09-14 2003-03-20 Naofumi Kirihata Wafer aligner
JP3911624B2 (ja) * 2001-11-30 2007-05-09 東京エレクトロン株式会社 処理システム
US7891935B2 (en) 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
JP3955504B2 (ja) * 2002-06-27 2007-08-08 サンデン株式会社 車両空調装置用ハイブリッド圧縮機の起動方法
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling

Also Published As

Publication number Publication date
CN101136349B (zh) 2010-12-08
KR20080020517A (ko) 2008-03-05
DE102007041033A1 (de) 2008-04-10
US7690881B2 (en) 2010-04-06
CN101136349A (zh) 2008-03-05
JP2008060577A (ja) 2008-03-13
US20080056854A1 (en) 2008-03-06
TW200811985A (en) 2008-03-01

Similar Documents

Publication Publication Date Title
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
US10679879B2 (en) Substrate processing apparatus
KR100598196B1 (ko) 반도체 처리 시스템에 있어서의 지지 기구
US7628574B2 (en) Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US6225233B1 (en) Semiconductor device manufacturing machine and method for manufacturing a semiconductor device by using THE same manufacturing machine
TWI238438B (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer
JP4916140B2 (ja) 真空処理システム
KR101396469B1 (ko) 공작물 전달 시스템 및 방법
KR20080053917A (ko) 기판교체장치
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
KR20070108004A (ko) 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US10872798B2 (en) Substrate transfer mechanism, substrate processing apparatus, and substrate transfer method
JP2020038880A (ja) 基板搬送機構、基板処理装置及び基板搬送方法
WO2017209881A1 (en) Dodecadon transfer chamber and processing system having the same
KR20140034318A (ko) 피처리체의 냉각 방법, 냉각 장치 및 컴퓨터 판독 가능한 기억 매체
US6860711B2 (en) Semiconductor-manufacturing device having buffer mechanism and method for buffering semiconductor wafers
US8545158B2 (en) Loading unit and processing system
WO2010013333A1 (ja) 真空装置及び真空処理方法
KR20100093994A (ko) 기판처리시스템
KR100843103B1 (ko) 반도체공정장치
KR101367898B1 (ko) 플라즈마 감금 장벽 및 이를 구비한 기판 처리 시스템 및방법
JP2023130880A (ja) 基板処理室内に配置される部材を搬送する装置、基板処理システム及び前記部材を搬送する方法
JPH08117583A (ja) 真空処理装置
JPH04287315A (ja) 被処理体の移載方法

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100713

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111226

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120117

R150 Certificate of patent or registration of utility model

Ref document number: 4912253

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150127

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250