KR20080020517A - 버퍼 메커니즘을 가진 기판 처리장치 및 기판 이송장치 - Google Patents

버퍼 메커니즘을 가진 기판 처리장치 및 기판 이송장치 Download PDF

Info

Publication number
KR20080020517A
KR20080020517A KR1020070086570A KR20070086570A KR20080020517A KR 20080020517 A KR20080020517 A KR 20080020517A KR 1020070086570 A KR1020070086570 A KR 1020070086570A KR 20070086570 A KR20070086570 A KR 20070086570A KR 20080020517 A KR20080020517 A KR 20080020517A
Authority
KR
South Korea
Prior art keywords
end effector
substrate
arm
chamber
load lock
Prior art date
Application number
KR1020070086570A
Other languages
English (en)
Inventor
다카유키 야마기시
타미히로 고바야시
아키라 와타나베
구니히로 가네우치
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20080020517A publication Critical patent/KR20080020517A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반응 챔버 내의 기판들을 로딩 및 언로딩하기 위한 기판 이송장치는, 직선 방향을 따라 횡(橫)으로 이동 가능한, 말단부를 가진 암(arm); 및 반응 챔버 내의 기판들을 로딩 및 언로딩하기 위한 엔드 이펙터(end-effector)들;을 포함하는데, 상기 엔드 이펙터들은 하부 엔드 이펙터 및 상부 엔드 이펙터를 포함한다. 상기 하부 엔드 이펙터 또는 상기 상부 엔드 이펙터 중 어느 하나는 상기 암의 말단부에서 상기 암에 이동 가능하게 결합되고, 다른 하나는 상기 이동 가능하게 결합된 엔드 이펙터에 고정된다. 상기 고정된 엔드 이펙터는 상기 이동 가능하게 결합된 엔드 이펙터에 고정된다.

Description

버퍼 메커니즘을 가진 기판 처리장치 및 기판 이송장치{Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus}
본 발명은 진공 로드락(load-lock) 시스템을 이용한 기판 처리장치와, 기판 이송장치에 관한 것이다. 더욱 상세하게는, 본 발명은 반도체 기판을 버퍼링(buffering)하는 버퍼 메커니즘에 관한 것이다.
일반적으로, 전형적인 반도체 집적 회로를 제조하는데 이용되는, 진공 로드락(load-lock) 시스템을 이용하는 반도체 처리장치의 챔버들은, 로드락 챔버, 이송 챔버 및 상기 이송 챔버에 연결된 다수의 반응기(처리 챔버)들을 포함한다. 각 챔버를 위해, 웨이퍼를 자동으로 공급하기 위한 웨이퍼 이송 로봇이 사용된다. 진공 로드락 시스템을 이용하는 반도체 처리장치는 다음과 같은 방식으로 작동된다: 첫째, 대기압 로봇(atmospheric robot)이 카세트 또는 전면개방통합포드(front opening unified pod)("FOUP", 즉 탈착 가능한 카세트와 전면개방 인터페이스를 가진 박스)로부터 로드락 챔버로 웨이퍼를 이송한다. 상기 로드락 챔버로부터 공기를 배출한 후, 공통의 다각형 형상의 이송 챔버 내에 마련된 진공 로봇에 의해, 상 기 웨이퍼는 각 반응기로 이송된다. 반응기 내의 처리가 끝난 웨이퍼들은 진공 로봇에 의해 로드락 챔버로 이송된다. 최종적으로, 로드락 챔버 내부가 대기압 상태로 복귀된 후, 처리된 웨이퍼들은 대기압 로봇에 의해 카세트 또는 FOUP으로 이송된다. 그런 장치들은 일반적으로 "클러스터 도구(cluster tools)"라고 부른다.
전형적으로, 클러스트 도구는, 단일웨이퍼처리식(single-wafer-processing type)과, 일괄웨이퍼처리식(batch wafer-processing type)을 가진다. 상기 단일웨이퍼처리식은 단일의 웨이퍼가 각 반응기에 의해 처리되는 타입이다. 상기 일괄웨이퍼처리식은 다수의 웨이퍼들이 단일의 반응기에 의해 처리되는 타입이다.
일괄웨이퍼처리식에 있어서, 다수의 웨이퍼들이 단일의 반응기에 의해 처리되기 때문에 생산성이 높다. 일괄 처리에서는, 웨이퍼 상에 형성된 박막의 막 두께 및 막 품질의 불균일해지는 것이 자주 문제가 된다. 막 두께 및 막 품질의 균일성을 향상시키기 위하여, 단일웨이퍼처리식 웨이퍼 처리장치를 사용하는 것이 효율적이다.
본 발명이 해결할 수 있는 문제점은 다음과 같다.
전형적인 단일웨이퍼처리식 처리장치를 이용하여 생산성을 높이기 위해서는, 반응기들의 수량이 증가하고, 풋프린트(footprint)(필요한 장비의 공간)와 페이스프린트(faceprint)(장비 전면부의 패널 폭)가 증가하고, 비용이 상승한다. 이러한 점은 장치가 공통의 다각형 형상의 이송 공간과 상기 이송 공간의 주위를 둘러 부착된 반응기들을 구비하기 때문이다. 또한, 반응기들의 수량의 증가로 인해, 장치의 고장 또는 점검 때문에 작동이 멈추게 되면, 산출량은 상당히 떨어지게 된다.
게다가, 박막 증착 공정에서는, 처리시간이 짧고 처리 공정들이 연속적으로 수행되는 일이 흔하다. 이러한 이유 때문에, 다음 웨이퍼를 로드락 챔버의 내부에서 대기하도록 한다면, 웨이퍼 이송 메커니즘은 이중 암을 가질 필요가 있다. 웨이퍼 이송 메커니즘이 이중 암을 장착한다면, 이송 메커니즘은 복잡하게 되고 비용은 상승한다. 또한, 로드락 챔버의 수용공간이 증가하고, 그러므로 공기를 배출하는데 필요한 시간 및 대기압 상태로 복귀하는데 필요한 시간이 길어지고, 이송 속도를 제한하는 요소들이 증가한다. 결국, 산출량은 제한된다.
게다가, 정상적인 다각형 타입의 이송 챔버를 이용하는 장치에서조차, 웨이퍼를 반응기 내부 및 외부로 효율적으로 이송하기 위해서, 이중 암을 가진 웨이퍼 이송 메커니즘이 더 나을지라도, 이송 메커니즘은 복잡해지고 비용은 증가한다.
따라서, 본 발명의 일 실시양태에서, 적은 비용, 작은 풋프린트 및 작은 페이스프린트를 실현하는 기판 처리장치를 제공한다.
또한, 본 발명의 일 실시양태에서, 안정적인 처리 및 높은 산출량을 실현하는 기판 처리장치를 제공한다.
예를 들면, 본 발명의 일 실시양태에 따르면, 진공 로드락 시스템이 마련된 기판 처리장치는, 로드락 챔버, 상기 로드락 챔버에 인접하게 배치되는 반응 챔버, 및 상기 로드락 챔버의 외부에 배치되는 이송 로봇을 포함하고, 상기 로드락 챔버는, 상기 이송 로봇과 상기 반응 챔버의 사이에서 기판들을 이송하기 위한, 얇은 링크연결된 림부(limb)와 상기 림부에 연결된 다중의 엔드 이펙터(end-effectors)를 구비하는 기판 이송암을 포함한다.
일 실시양태에서, 로드락 챔버는 이웃하게 배치되며 공통된 환경을 공유하는 2개의 구획부를 포함하고, 각 구획부는 기판 이송 암을 구비하고, 게이트 밸브(즉, 2개의 반응 챔버는 2개의 구획부를 가지는 하나의 로드락 챔버에 연결된다)를 통해 상기 반응 챔버에 연결된다.
일 실시양태에서, 다중의 엔드 이펙터는, 한번에 2개의 기판을 이송할 수 있고, 상기 반응 챔버로부터 후퇴하지 않으면서 상기 반응 챔버에서 미처리 기판을 언로딩하고 처리 기판을 로딩할 수 있는, 이중의 엔드 이펙터(각각 하나의 기판을 지지함)이다. 상기에서, 상기 이송 암의 단일의 이동에 의해 2개의 기판은 상기 로드락 챔버로부터 상기 반응 챔버로 이송될 수 있고, 그 반대로 이송될 수도 있다. 예를 들면, 단일의 이송 암의 한 번의 전진 및 후퇴 운동에 의해, 미처리 기판은 버퍼링될 수 있고, 반면에 처리 기판은 반출될 수 있다.
일 실시양태에서, 반응 챔버는 처리 기판 또는 미처리 기판을 대기시키기 위한 또는 임시로 지지하기 위한 버퍼 메커니즘을 구비한다. 상기 반응 챔버의 버퍼 메커니즘은 상기 이중의 엔드 이펙터를 가진 이송 암과 함께 작동한다. 단일의 암이 하나의 반응 챔버에 사용되더라도, 다중의 엔드 이펙터를 구비한 단일의 암과 상기 반응 챔버의 버퍼 메커니즘을 이용함으로써, 이중의 암을 사용할 때보다 이송 능력은 더 커질 수 있고, 상기 로드락 챔버의 크기가 작아질 수 있다. 게다가, 산출량은 현저하게 높아질 수 있다.
일 실시양태에서, 다중의 엔드 이펙터는 독립적으로 조정되는 것이 아니다. 예를 들면, 제1엔드 이펙터는 상기 암의 말단부에 이동 가능하게 결합되고, 제2엔드 이펙터는 상기 제1엔드 이펙터에 고정된다. 그러므로, 상기 이송 암의 동작은 단일의 엔드 이펙터를 가진 이송 암과 다르지 않다.
일 실시양태에서, "버퍼링"은, 다른 기판이 상기 반응기 내부로 또는 외부로 이송되는 동안, 반응기 내의 처리 기판 또는 미처리 기판을 일시적으로 정지 또는 지지하는 것을 의미한다. 일 실시양태에서, "엔드 이펙터"는 기판을 지지하기 위한 플랜지이고, 림부에 이동 가능하게 결합된다.
본 발명 및 종래 기술을 능가하여 달성된 장점을 요약하기 위하여, 본 발명 의 특정 목적 및 장점을 상기 기재하였다. 물론, 이러한 모든 목적 또는 장점이 본 발명의 임의의 특정 실시양태에 따라 반드시 달성될 수 있다는 것이 아니라는 것은 이해될 것이다. 따라서, 예를 들어, 당업자는 본원에 교시되거나 제시될 수 있는 다른 목적 또는 장점을 반드시 달성하지 않고도 본원에 교시된 하나의 장점 또는 일군의 장점들을 달성하거나 최적화하는 방식으로 본 발명을 구체화하거나 실행할 수 있다는 것을 인식할 것이다.
본 발명의 추가 측면들, 특징들 및 장점들은 하기 바람직한 실시양태들의 상세한 설명으로부터 명백하게 될 것이다.
본 발명의 적어도 하나의 실시양태는 적어도 다음의 효과들을 보여줄 수 있다. 이러한 효과들이 모든 실시양태들을 한정하기 위한 것은 아니다.
이중의 엔드 이펙터와 버퍼 메커니즘을 조합하여, 반응기 내부에서 처리된 웨이퍼 또는 미처리된 웨이퍼들은 대기 상태로 유지함으로써, 처리된 웨이퍼 및 미처리된 웨이퍼가 매우 효과적으로 교환될 수 있다. 그렇게 할 때, 반응기 하나당 하나의 이송 암을 가지고 있는 구조에도 불구하고, 이중 암을 가지는 장치보다 더 큰 성능을 가지는 장치가 달성될 수 있다. 따라서, 이송속도를 제한하는 요소들에 의해 발생되는 문제가 해결되고, 안정적인 공정과 매우 높은 산출량을 현실화하는 반도체 처리장치가 실현될 수 있다.
또한, 이중 암을 가지는 전통적인 장치와 비교할 때 로드락 챔버의 부피가 감소될 수 있기 때문에, 적은 비용, 작은 풋프린트 및 작은 페이스프린트를 실현하 는 반도체 처리장치가 제공될 수 있다.
본 발명은 바람직한 실시양태들을 참조하며 하기에 상세하게 설명될 것이다. 그러나 바람직한 실시양태들은 본 발명을 한정하기 위한 것이 아니다.
1) 반응 챔버 내의 기판들을 로딩 및 언로딩하기 위한 기판 이송장치는, (a) 직선 방향을 따라 횡(橫)으로 이동 가능한, 말단부를 가진 암(arm); 및 (b) 반응 챔버 내의 기판들을 로딩 및 언로딩하기 위한 엔드 이펙터(end-effector)들;을 구비하고, 상기 엔드 이펙터들은 하부 엔드 이펙터 및 상부 엔드 이펙터를 포함하며, 상기 하부 엔드 이펙터 또는 상기 상부 엔드 이펙터 중 어느 하나는 상기 암의 말단부에서 상기 암에 이동 가능하게 결합되고, 상기 하부 엔드 이펙터 또는 상기 상부 엔드 이펙터 중 다른 하나는 상기 이동 가능하게 결합된 엔드 이펙터에 고정되며, 상기 이동 가능하게 결합된 엔드 이펙터는 전측부, 후측부, 우측부, 좌측부를 구비하고, 상기 고정된 엔드 이펙터는 오직 상기 우측부 및 상기 좌측부에서 상기 이동 가능하게 결합된 엔드 이펙터에 고정되는 다중의 부분들을 구비한다.
상기 실시양태는 하기의 실시양태들을 더 포함하는데, 하기의 실시양태들에 한정되는 것은 아니다.
2) 1)에 있어서, 상기 이동 가능하게 결합된 엔드 이펙터는 하부 엔드 이펙터일 수 있고, 상기 고정된 엔드 이펙터는 상부 엔드 이펙터이다.
3) 1) 또는 2)에 있어서, 상기 이동 가능하게 결합된 엔드 이펙터의 전측부 및 후측부는, 상기 이동 가능하게 결합된 엔드 이펙터가 횡으로 이동하는 방향을 대면할 수 있다.
4) 1) 내지 3) 중 어느 하나에 있어서, 상기 암은 상기 엔드 이펙터들을 일 방향을 따라 횡으로 그리고 직선으로 이동시키도록 구성될 수 있다.
5) 1) 내지 4) 중 어느 하나에 있어서, 상기 암은 관절에 의해 이동 가능하게 상호 결합된 근접 링크부와 말단 링크부를 구비할 수 있고, 상기 엔드 이펙터들은 관절에 의해 상기 말단 링크부에 이동 가능하게 결합된다.
6) 1) 내지 5) 중 어느 하나에 있어서, 상기 암과 상기 엔드 이펙터들이 후퇴 위치에 있을 때, 상기 장치는 상기 암과 상기 엔드 이펙터들이 배치되는 로드락(load-lock) 챔버를 더 구비할 수 있다.
7) 2)를 참조하며 1) 내지 6) 중 어느 하나에 있어서, 상기 상부 엔드 이펙터는, 상호 평행하게 배치되고, 상기 하부 엔드 이펙터의 우측부 및 좌측부에 각각 고정되는, 2개의 긴 형상의 부분들로 구성될 수 있다.
8) 7)에 있어서, 상기 상부 엔드 이펙터의 각각의 긴 형상의 부분은 2개의 기판 접촉부를 가질 수 있고, 상기 하부 엔드 이펙터는 4개의 기판 접촉부를 가진다.
9) 다른 실시양태에서, 기판 처리장치는, (A) 로드락 챔버; (B) 게이트 밸브(gate valve)를 통하여 상기 로드락 챔버에 연결된 적어도 하나의 반응 챔버; 및 (C) 상기 로드락 챔버 내에 배치된 1) 내지 8) 중 어느 하나의 기판 이송장치;를 구비하고, 상기 반응 챔버 내의 기판들을 로딩 및 언로딩하기 위해 개방되었을 때, 상기 암과 상기 엔드 이펙터들은 상기 게이트 밸브를 통해 상기 반응 챔버로 연장 가능하다.
상기 실시양태는 하기의 실시양태들을 더 포함하는데, 하기의 실시양태들에 한정되는 것은 아니다.
10) 9)에 있어서, 상기 장치는, 상기 로드락 챔버 내의 기판들을 로딩 및 언로딩하기 위해 개방되었을 때, 상기 게이트 밸브를 통해 상기 로드락 챔버로 접근 가능한 대기압 로봇(atmospheric robot)을 더 구비할 수 있다.
11) 9) 또는 10)에 있어서, 상기 반응 챔버는 그 내부에서 기판을 대기 상태로 유지하기 위한 버퍼 메커니즘을 구비할 수 있다.
12) 또다른 실시양태에서, 반응 챔버와 게이트 밸브를 통해 연결된 로드락 챔버 사이에서 기판을 이송하는 방법은, (i) 상기 로드락 챔버 내에서, 미처리 기판을 이송 암의 상부 엔드 이펙터 상에 로딩하는 단계; (ⅱ) 상기 반응 챔버 내에서 처리 기판이 배치되는 서셉터를 하강시키고, 그로 인해 상기 서셉터로부터 상측으로 연장되는 승강 핀들 위에서 상기 처리 기판을 지지하는 단계; (ⅲ) 상기 게이트 밸브가 개방되면, 상기 이송 암을 상기 로드락 챔버로부터 상기 반응 챔버측으로 횡방향으로 연장하고, 이에 의해 상기 승강 핀들 상에 지지된 처리 기판은 상기 이송 암의 상부 엔드 이펙터 및 하부 엔드 이펙터 사이에 위치하며, 상기 미처리 기판은 상기 상부 엔드 이펙터 상에 위치하는 단계; (ⅳ) 상기 반응 챔버 내에 마련된 버퍼 암들을 이용하여 상기 미처리 기판을 지지하며, 그로 인해 상기 버퍼 암들 상에 상기 미처리 기판을 로딩하는 단계; (v) 상기 승강 핀들을 하강시키면서 상기 미처리 기판과 함께 상기 버퍼 암들을 상승시키며, 그로 인해 상기 처리 기판 을 상기 하부 엔드 이펙터 상에 배치시키는 단계; (ⅵ) 상기 이송 암을 상기 반응 챔버로부터 상기 로드락 챔버측으로 후퇴시키고, 상기 게이트 밸브를 폐쇄하는 단계; (ⅶ) 상기 미처리 기판과 함께 상기 버퍼 암들을 하강시키고, 그로 인해 상기 서셉터로부터 상측으로 연장되는 승강 핀들 위에서 상기 미처리 기판을 지지하는 단계; (ⅷ) 상기 서셉터를 상승시키고, 그로 인해 상기 서셉터 상에 상기 미처리 기판을 로딩하는 단계; (ⅸ) 상기 처리 기판을 상기 하부 엔드 이펙터로부터 언로딩하고, 상기 반응 챔버 내에서 상기 미처리 기판을 처리하는 동안, 상기 로드락 챔버 내에서 (i) 단계를 수행하는 단계로서, 이후 (ⅱ) 단계부터 (ⅸ) 단계가 뒤따르는 단계를 포함할 수 있다.
상기 실시양태는 하기의 실시양태들을 더 포함하는데, 하기의 실시양태들에 한정되는 것은 아니다.
13) 12)에 있어서, 상기 이송 암은, 1) 내지 8)의 이송 암들 중 어느 하나로부터 선택될 수 있다.
14) 또다른 실시양태에서, 제1챔버와 제2챔버 사이에서 기판을 이송하는 방법은, (i) 상기 제1챔버 내에서 이송 암의 상부 엔드 이펙터 상에 제1기판을 로딩하는 단계; (ⅱ) 상기 이송 암을 상기 제1챔버로부터 상기 제2챔버 측으로 횡방향으로 연장하고, 그로 인해 상기 제2챔버 내의 제2기판은 상기 이송 암의 상부 엔드 이펙터와 하부 엔드 이펙터 사이에 위치하고, 상기 제1기판이 상기 상부 엔드 이펙터 상에 위치하는 단계; (ⅲ) 상기 상부 엔드 이펙터로부터 상기 제1기판을 언로딩하고, 상기 하부 엔드 이펙터 상에 상기 제2기판을 로딩하는 단계; (ⅳ) 상기 이송 암을 상기 제2챔버로부터 상기 제1챔버 측으로 후퇴시키는 단계; 및 (v) 상기 하부 엔드 이펙터로부터 상기 제2기판을 언로딩하고, 상기 제1챔버 내에서 (i) 단계를 수행하는 단계로서, 이후 (ⅱ) 단계부터 (v) 단계가 뒤따르는 단계;를 포함할 수 있다.
15) 14)에 있어서, 상기 이송 암은, 1) 내지 8)의 이송 암들 중 어느 하나로부터 선택될 수 있다.
16) 13) 또는 14)에 있어서, 상기 제1챔버는 로드락 챔버일 수 있고, 상기 제2챔버는 반응 챔버일 수 있고, 상기 제1기판은 미처리 기판이고, 상기 제2기판은 처리 기판이다.
17) 다른 실시양태에서, 기판 처리장치는, (a) 로드락 챔버; (b) 게이트 밸브를 통하여 상기 로드락 챔버에 연결된 적어도 하나의 반응 챔버; 및 (c) 상기 로드락 챔버와 상기 반응 챔버 사이에서 기판들을 이송하고, 상기 반응 챔버로부터 후퇴하지 않고 상기 반응 챔버 내에서 미처리 기판과 처리 기판을 대기 상태로 유지하기 위한 수단;을 구비할 수 있다.
상기에서, 기판은 반도체 웨이퍼를 포함하는 임의의 타입의 기판일 수 있는데, 반도체 웨이퍼에 한정되는 것은 아니다.
본 발명은 도면들을 참조하면서 하기에 더 설명될 것이다. 그러나, 도면들은 실시양태들을 나타내는 것이고, 본 발명을 한정하기 위한 것은 아니다.
도 1은 본 발명의 일 실시양태에 따른 반도체 웨이퍼 상에 박막을 형성하기 위한 웨이퍼 처리장치를 나타내는, 설명적인 평면도이다. 장치는 FOUP(106), 대기 압 로봇(105)이 배치된 미니환경(mini-environment), 로드락(load-lock) 챔버(2) 및 게이트 밸브(13)를 통해 상기 로드락 챔버(2)에 연결된 반응 챔버(1)를 포함한다. 상기 로드락 챔버(2)는 2개의 구획부를 포함하고, 각 구획부는 이중의 엔드 이펙터(end-effector)(31,33)를 가진 이송 암을 구비한다(도 4 및 도 5 참조). 상기 로드락 챔버(2) 및 2개의 반응 챔버(1)들은 모듈 또는 반응기 유닛을 구성한다. 처리장치는 상기 구성에 한정되는 것은 아니고, 미국특허 제6,630,053호에 개시된 내용과 같은 적절한 구성을 가질 수 있으며, 상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함된다.
상기 대기압 로봇(105)은 횡방향으로 그리고 전후방향으로 이동하여 FOUP(106)과 로드락 챔버(2) 사이에서 기판들을 이송할 수 있다. 또한, 대기압 로봇(105)은 수직으로 이동하여 상부 엔드 이펙터(33) 및 하부 엔드 이펙터(31)의 위치에 위치할 수 있다. 즉, 대기압 로봇(105)은 로드락 챔버(2)의 하부 엔드 이펙터(31)로부터 기판(예컨대, 처리 기판)을 언로딩하여 FOUP(106)으로 이송할 수 있다. 또한, 대기압 로봇(105)은 FOUP(106)으로부터 기판(예컨대, 미처리 기판)을 이송하여 상부 엔드 이펙터(33)로 로딩할 수 있다. 도 3a는 로드락 챔버(2)와 대기압 로봇(105)을 설명하기 위한 평면도이고, 도 3b는 로드락 챔버(2)와 대기압 로봇(105)을 설명하기 위한 측면도이다. 상기 대기압 로봇(105)은 게이트 밸브(104)를 통해서 로드락 챔버(2)와 소환경 사이에서 기판들을 이송할 수 있다. 본 발명의 일 실시양태에 따른 작동 흐름에서, 대기압 로봇(105)은 하부 엔드 이펙터(31) 상에(즉, 하부 엔드 이펙터(31)의 지지 부재(32)들 위에) 놓여진 처리 기판을 언로 딩하여 FOUP으로 이동시키고, 그 후 대기압 로봇(105)은 FOUP으로부터 미처리 기판을 꺼내어 상부 엔드 이펙터(33)에(즉, 상부 엔드 이펙터(33)의 단부(34) 상에) 로딩한다. 상기 순서는 반대로 될 수도 있다. 상기의 작동 중, 엔드 이펙터들과 암은 움직이지 않고 로드락 챔버(2)에서 머무른다. 별법으로, 대기압 로봇(105)은 수직 방향으로 이동하지 않고, 엔드 이펙터들과 암이 수직 방향으로 이동하여 대기압 로봇은 기판을 엔드 이펙터로 로딩하고 엔드 이펙터로부터 언로딩할 수 있다.
도 2a는 도 1에 도시된 반응기 유닛을 설명하기 위한 평면도이고, 도 2b는 본 발명의 일 실시양태에 따른, 로드락 챔버 및 반응 챔버를 구비한 모듈의 변형된 단면도이다. 반도체 웨이퍼(12) 상에 막을 형성하기 위한 반응기(1)가 제공되며, 반도체 웨이퍼(12)를 진공 상태에서 대기시키기 위한 로드락 챔버(2)가 제공된다. 여기서, 상기 로드락 챔버(2)는 게이트 밸브(13)를 통해 반응기(1)에 연결되며, 웨이퍼 이송 암(3)은 상기 로드락 챔버(2) 내에 마련된다. 웨이퍼 이송 암은, 반도체 웨이퍼(12)를 반응기(1) 내로 이송하기 위한 하나의 얇은 링크 타입의 암 샤프트를 가진다.
반응기(1) 내에서, 그 위에 반도체 웨이퍼(12)가 배치되는 서셉터(14)와, 반도체 웨이퍼(12)로 반응 가스의 제트를 균일하게 도입하기 위한 샤워 플레이트(미도시)가 제공된다. 플라즈마 강화 CVD(PECVD)에서, 서셉터(14)와 샤워 플레이트 모두는 고주파수 전원 전극들을 구비한다. 서셉터(14)와 샤워 플레이트 사이의 거리를 줄임으로써, 플라즈마 반응 영역은 감소할 수 있다. 반응 챔버 또는 반응기는 PECVD 챔버일 필요는 없으나, CVD, PVD 및 ALD(원자층 증착, atomic layer deposition)을 포함하는 반응 중 임의의 형태를 위한 적절한 챔버가 될 수 있다.
상기 서셉터(14)의 주변 가까이에는, 균등한 간격으로 부착된 적어도 세 개의 웨이퍼 승강 핀(15)들이 수직 방향으로 서셉터를 직각으로 통과한다. 상기 웨이퍼 승강 핀(15)들은 실린더(6)에 의해 상하 방향으로 이동할 수 있다.
상기 서셉터(14)의 둘레 가까이에는, 적어도 한 쌍의 버퍼 메커니즘이 제공된다. 관련된 버퍼 메커니즘은, 반도체 웨이퍼(2)(상부 엔드 이펙터(34) 상에 배치된)를 지지하기 위한, 적어도 2(예컨대, 2,3,4)개의 지지 수단(4,5)들을 구비하며, 서셉터(14)의 둘레에 마련되며, 수평 방향으로 회전한다. 상기 지지 수단들의 회전은 동기화되고, 반도체 웨이퍼를 버퍼링하는 때에만 상기 지지 수단들은 서셉터(14)의 안쪽으로 회전하여 웨이퍼를 지지한다. 상기 지지 수단(4,5)들은, 바람직하게, 그 폭이 2 ㎜ 내지 5 ㎜가 되는 얇은 플레이트 재료를 구비한다. 상기 지지 수단(4,5)들의 형상은 웨이퍼(12)를 안정적으로 지지할 수 있는 한 제한되지 않는다. 지지 수단들과 웨이퍼의 접촉 영역이 크면 오염을 유발한다. 이러한 이유 때문에, 웨이퍼의 배면을 접촉하는 지지 수단(4,5)들의 일부분의 형상은, 상기 일부분이 웨이퍼의 배면과 선접촉하도록, 둘레부를 따라 굴곡이 있는 형상인 것이 바람직하다. 또한, 오염을 피하기 위해 지지 수단(4,5)들로 사용되는 재료로서, 세라믹 또는 알루미늄이 바람직하다. 각각의 지지 수단(4,5)은 샤프트 수단(17)의 상단부에 결합되고, 수직으로 지지된다. 상기 샤프트 수단(17)의 하단부는 승강 액츄에이터(8) 및 회전 액츄에이터(9)에 결합되고, 상기 지지 수단들은 상기 회전 액츄에이터에 의해 회전된다. 상기 샤프트 수단(17), 상기 승강 액츄에이터(8) 및 상기 회전 액츄에이터(9)는 벨로우즈(10)에 의해 외부로부터 격리된다. 게다가, 상기 샤프트 수단(17)은 바람직하게는, 오링(O-ring)(16)에 의해 밀봉(seal)된다. 상기 회전 액츄에이터(9)는 전기적으로 또는 공기압에 의해 작동될 수 있다. 상기 승강 액츄에이터(8)는 동력학적으로 샤프트 수단(17)의 하단부에 연결된다. 승강 액츄에이터(8)는 샤프트 수단(17)을 상하 방향으로 이동시킬 수 있고, 이로 인해 지지 수단(4,5)들도 상하 방향으로 이동한다. 승강 액츄에이터(8)는 전기적으로 또는 공기압에 의해 작동될 수 있다. 샤프트 수단(17)의 직경은, 바람직하게는, 8 ㎜ 내지 16 ㎜의 범위 내이다. 오염을 피하기 위해 샤프트 수단(17)으로 사용되는 재료로서, 세라믹 또는 알루미늄이 바람직하다.
도 6a 및 도 6b는 본 발명의 일 실시양태에 따른 버퍼 메커니즘을 나타낸다. 도 6a는 버퍼 메카니즘의 바닥 단부로부터 본 분해 사시도이다. 도 6b는 구동부의 부분적인 단면 사시도이다. 상기 지지 수단은 버퍼 휜(buffer fin)(4)이다. 일 부분(25)은 반응기의 바닥부에 고정된다. 상기 버퍼 휜은, 메인 샤프트(17)의 양쪽에 배치된 슬라이드 샤프트(23)들과 함께 승강 액츄에이터(8)를 사용하여 상하 방향으로 이동하는 메인 샤프트(17)에 부착된다. 상기 메인 샤프트(17)는 벨로우즈(10)에 둘러싸이고 오링(24)으로 밀봉되어서, 메인 샤프트(17)가 반응기 내부에서 회전하면서 상승/하강하더라도 반응기의 내부는 외부로부터 밀봉된다. 상기 메인 샤프트(17)는 회전 액츄에이터(9)를 이용하여 회전한다. 버퍼 휜(4)의 높이는 센서 도그(21)와 광전 센서(22)를 이용하여 제어된다. 일 실시양태에서, 버퍼 휜(4)은 3개의 높이를 가질 수 있다: 고(버퍼 위치), 중(언로딩/로딩 위치) 및 저 (바닥 위치).
여기서 지적하고자 하는 점은, 도 1에 도시된 반도체 처리장치가 하나의 로드락 챔버(2개의 구획부를 가진)와 로드락 챔버에 직접 연결된 2개의 반응기를 구비한다 하더라도, 본 발명은 이러한 실시양태에 한정되는 것은 아니다. 예를 들면, 단일의 구획부를 가진 로드락 챔버와 단일의 반응기가 연결될 수 있다. 그러나, 도 1에 도시된 두 유닛들을 평행하게 배열하고 로드락 챔버를 공통으로 사용하고 독립적인 이송 시스템을 사용함으로써, 두 개의 웨이퍼는 동시적으로 반응기들에 이송될 수 있고 웨이퍼들은 동시적으로 두 개의 반응기 내에서 처리될 수 있다. 또한, 일 실시양태의 버퍼 메커니즘은, 임의의 적절한 단일웨이퍼처리식(single-wafer-processing type) 반응기를 가진 모든 반도체 처리장치들에 적용될 수 있다. 예를 들면, 버퍼 메커니즘은, 하나의 로드락 챔버와 하나의 반응기가 이송 챔버를 통해 연결된, 임의의 적절한 단일웨이퍼처리식 반도체 처리장치(예컨대, 재팬 에이에스엠에서 제조된 Eagle 10™)에 적용될 수도 있다.
도 2b에 도시된 반도체 처리장치의 버퍼 메커니즘이 사용되지 않는 경우의 작동 흐름을 기술한다. 우선, 대기압 로봇은 플래퍼(flapper) 밸브(20)를 통해 카세트 또는 FOUP으로부터 각각의 로드락 챔버(2)로 반도체 웨이퍼(12)를 이송한다. 웨이퍼의 도입이 완료되면 상기 플래퍼 밸브(20)는 닫히고, 건식 펌프(미도시)에 의해 로드락 챔버(2)로부터 공기가 배출된다. 게이트 밸브(13)가 열리고, 얇은 링크 타입의 암을 가진 웨이퍼 이송 암(3)은 회전 액츄에이터(11)에 의해 연장되고, 반도체 웨이퍼(12)는 반응기(1) 내부의 서셉터(14) 상으로 이송된다. 링크 타입의 암을 구비한 웨이퍼 이송 암(3)은 이동 가능하게 말단부(18)의 위치에서 엔드 이펙터(31)에 결합되기 때문에, 엔드 이펙터들은 로드락 챔버(2)와 반응기(1) 사이에서 직선 방향으로 왕복 운동할 수 있다. 이러한 구성은 오직 기계적인 위치 조정을 필요로 한다. 기판 승강 핀(15)은 서셉터(14)의 표면으로부터 돌출하여 반도체 웨이퍼(12)를 지지한다. 웨이퍼 이송 암(3)은 로드락 챔버(2) 내부에 위치하고, 게이트 밸브(13)는 닫힌다. 서셉터(14)는 서셉터 구동 모터(7)에 의해 상승하고, 반도체 웨이퍼(12)는 서셉터(14)의 표면 상에 놓여진다. 그 후, 반도체 웨이퍼(12) 상에서 박막 증착 처리가 시작된다. 박막 증착 처리가 완료된 후 처리된 반도체 웨이퍼는, 이번에는 작동 흐름의 순서를 거꾸로 따라가면서, 카세트 또는 FOUP로 이송된다. 상기 암(3)은, 액츄에이터에 연결되도록 구성된 근접단부를 가지고, 횡방향 운동의 다축을 가지면서 횡방향으로 이동할 수 있는 임의의 타입이 될 수 있다. 상기 암(3)은, 바람직하게, 횡방향 운동의 세 개의 자유도를 가지므로, 암(3)(엔드 이펙터에 이동 가능하게 연결된)의 말단부(18)는 직선 방향으로 이동할 수 있다(예컨대, 로드락 챔버(2)와 반응 챔버(1) 사이에서).
기재된 바와 같이, 버퍼 메커니즘을 가지지 못한 반도체 처리장치에서, 반응기당 오직 하나의 이송 암이 존재하므로, 이송속도를 결정하는 요인에 의해 산출량이 제한되는 문제점이 있었다. 반응기의 버퍼 메커니즘은, 미국특허 제6,860,711호에 기재된 바와 같이 이러한 문제점을 해결할 수 있으며, 상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함된다. 본 발명의 일 실시양태에서, 다중의 엔드 이펙터들을 이송 암과 조합함으로써, 미국특허 제6,860,711호와 비교할 때, 산출량은 현저하게 향상될 수 있다.
도 4는 본 발명의 일 실시양태에 따른 엔드 이펙터들을 설명하기 위한 측면도이다(하부 엔드 이펙터(31)는 투명하게 그려지고, 상부 엔드 이펙터(33)와 하부 엔드 이펙터(31)는 겹친다). 도 5는 본 발명의 일 실시양태에 따른 엔드 이펙터들의 개략적인 사시도이다. 엔드 이펙터들은 하부 엔드 이펙터(31)와 상부 엔드 이펙터(33)를 구비하며, 하부 엔드 이펙터(31)의 근접단부는 도 1에 도시된 바와 같이, 이송 암에 이동가능하게 결합된다. 여기에는 횡방향 운동의 3축이 마련된다. 엔드 이펙터들은 도 5의 화살표(35,36)들에 의해 지시되는 방향으로 이동한다. 일 방향(35)은 반응기를 향하는 방향이고, 일 방향(36)은 로드락 챔버를 향하는 방향이다. 도 5에서, 기판(12',12")은, 설명을 위해, 투명 플레이트로 도시된다. 하부 엔드 이펙터(31)에는, 처리 기판(12")의 둘레부를 지지하기 위한 4개의 지지 부재(32)들이 제공된다. 상부 엔드 이펙터(33)는 하부 엔드 이펙터(31)의 우측과 좌측에 배치된 2개의 측면 부재들로 이루어져서, 상부 엔드 이펙터는 버퍼 휜과 대기압 로봇을 이용하여 로딩 및 언로딩되는 기판들과 접촉하지 않는다. 상부 엔드 이펙터(33)의 각 측면 부재는 미처리 기판(12')의 둘레부에 대응하는 단부(34)들을 가진다.
일 실시양태에서, 상기 단부의 높이는 약 2 ㎜(±50%)일 수 있고, 상기 지지 부재(32)의 높이는 2.3 ㎜(±50%)일 수 있다. 일 실시양태에서, 상부 엔드 이펙터(33)와 하부 엔드 이펙터(31) 사이의 거리(상기 상부 기판과 하부 기판 사이의 거리로 정의된)는 13 ㎜(±50%)일 수 있다(도 4에서 상기 거리는 12.1 ㎜). 상기 거리는 버퍼 휜과 대기압 로봇을 포함하는 장치의 구성에 따라 조정될 수 있다. 상기 상부 엔드 이펙터의 긴 형상의 측면 부재(33)들은, 버퍼 휜들이 횡방향으로 기판의 둘레부 측으로 접근하여 기판을 들어 올리고 버퍼링할 수 있는 형상으로 되어 있다. 일 실시양태에서, 버퍼 휜들에 의해 기판을 지지하기 위한 틈새는 10 ㎜(±50%)일 수 있다(도 4에서 상기 틈새는 10.2 ㎜이다). 게다가, 상부 엔드 이펙터의 2개의 긴 형상의 부재(33)들은 이동 방향에 대하여 하부 엔드 이펙터(31)의 우측 및 좌측에 위치하고, 2개의 긴 형상의 부재(33)들은 서로 떨어져 있으므로 기판이 상부 엔드 이펙터 및 하부 엔드 이펙터의 사이에 삽입될 수 있고 하부 엔드 이펙터(31) 위에 로딩될 수 있다. 일 실시양태에서, 2개의 긴 형상의 부재(33)들의 거리는 기판의 외곽 직경보다 다소 크다(그러나, 엔드 이펙터는 게이트 밸브를 통해 통과하기 위하여 게이트 밸브의 폭보다 작아야 한다). 하부 엔드 이펙터(31)는, 엔드 이펙터(31)가 승강 핀들의 운동을 간섭하지 못하게 되는 구조를 갖는다. 상기에서, 처리 기판은 승강 핀들을 이용하여 하부 엔드 이펙터(31) 상에 로딩되고, 반면에 미처리 기판은 버퍼 휜들을 이용하여 상부 엔드 이펙터(33)로부터 언로딩된다. 엔드 이펙터들은 전체적인 구조에 따라 적어도 상기 기능들을 달성하도록 설계된다.
일 실시양태에서, 상부 엔드 이펙터는 알루미늄 세라믹 또는 표면 아노다이징처리된 알루미늄으로 제작될 수 있다. 일 실시양태에서, 하부 엔드 이펙터는 알루미늄 세라믹으로 제작될 수 있다. 일 실시양태에서, 지지 부재들은 Serazol™(폴리벤지미다졸 수지)(polybenzimidazole resin), 폴리이미드 수지(polyimide resin), 또는 Peek™ 폴리머, 표면 아노다이징처리된 알루미늄 등으로 제작될 수 있다.
도 4 및 도 5에서, 하부 엔드 이펙터(31)는 이동 가능하게 암에 결합된다. 그러나, 다른 실시양태에서, 상부 엔드 이펙터는 이동 가능하게 암에 결합되고, 하부 엔드 이펙터는 상부 엔드 이펙터에 고정된다. 예를 들면, 도 4 및 도 5의 상부 엔드 이펙터와 비교하여, 하부 엔드 이펙터는 L-형상의 단면을 가지나, 단부는 기판의 둘레부를 지지하기 위한 내부의 돌출부 상에 형성된다. 게다가, 지지 부재들은 상부 엔드 이펙터의 상면 상에 배치된다.
도 4 및 도 5에서, 상부 엔드 이펙터(33)의 2개의 긴 형상의 부재(33)들은 하부 엔드 이펙터(31)의 우측 및 좌측에 각각 배치된다. 그러나, 다른 실시양태에서, 3개, 4개 이상의 서로 분리된 부재들이 하부 엔드 이펙터의 외곽 둘레부에 또는 그 근처에 배치될 수 있다.
본 발명의 일 실시양태에 따른 버퍼 메커니즘을 유용하게 하는 작동 흐름은 하기에 상세히 기술된다. 도 7은 본 발명의 일 실시양태에서 미처리된 웨이퍼 및 처리된 웨이퍼를 버퍼링할 때의 작동 흐름을 보여준다. 도 8은 일 실시양태에서 반응기의 작동의 개략적인 다이어그램을 보여준다(예컨대, 에이에스엠 재팬에 의해 제작된, 웨이퍼 버퍼 메커니즘을 가진 Dragon™ 반응 챔버). 우선, 미처리 기판이 로드락 챔버에서 이송 암의 상부 엔드 이펙터 상에 로딩된다(공정 1). 반응 챔버 내에서 처리 기판이 놓여 있는 서셉터는 하강하고, 그로 인해 처리 기판은 서셉터로부터 상측으로 연장되는 승강 핀에 의해 지지된다(처음에는 반응 챔버 내에 처리 기판은 없다)(공정 2). 게이트 밸브가 열리고, 이송 암은 로드락 챔버로부터 반응 챔버측으로 횡방향으로 연장되고, 이에 의해 승강 핀들 상에 지지된 처리 기판은 이송 암의 상부 엔드 이펙터 및 하부 엔드 이펙터 사이에 위치하는데, 여기서 미처리 기판은 상부 엔드 이펙터 상에 위치한다(공정 3). 언로딩/로딩 위치에서 버퍼 암들은 횡방향으로 그리고 미처리 기판측으로 회전하고, 미처리 기판은 반응 챔버에 마련된 버퍼 암들을 이용하여 지지하며, 그로 인해 미처리 기판을 버퍼 암들 상에 로딩한다(공정 4). 승강 핀들을 하강시키면서 버퍼 암들은 미처리 기판과 함께 버퍼 위치까지 상승되며, 그로 인해 처리 기판을 하부 엔드 이펙터 상에 위치시킨다(공정 5). 이송 암은 반응 챔버로부터 로드락 챔버측으로 복귀된다(공정 6). 그 후 게이트 밸브는 닫힌다(공정 7). 버퍼 암들은 미처리 기판과 함께 바닥 위치까지 하강하고, 이로 인해 미처리 기판은 서셉터로부터 상측으로 연장되는 승강 핀들에 의해 지지된다(공정 8). 버퍼 암들은 미처리 기판으로부터 멀어져서 초기 위치로, 횡방향으로 회전한다(공정 9). 서셉터는 상승하고 승강 핀들은 후퇴하며, 이로 인해 미처리 기판은 서셉터 상에 로딩된다(공정 10). 공정 10 이후, 증착법과 같은 처리법이 시작될 수 있다. 로드락 챔버 내의 처리 기판은 하부 엔드 이펙터로부터 언로딩되고, 반응 챔버 내에서 미처리 기판을 처리하는 동안, 로드락 챔버 내에서는 공정 1이 수행된다. 이후 공정 2 내지 공정 10이 이어진다.
도 7에 도시된 바와 같이, 이 실시양태에서, 로드락 챔버의 관점에서 엔드 이펙터 상의 미처리 기판과 처리 기판을 교체하는데 걸리는 시간은 7.7초이다(공정 1의 시작부터 공정 7의 끝까지). 이러한 점은 산출량에 있어서 상당한 향상이다. 미국특허 제6,860,711호에서, 버퍼 메커니즘이 이용된다 하더라도, 로드락 챔버의 관점에서 엔드 이펙터 상의 미처리 기판과 처리 기판을 교체하는데 걸리는 시간은 20초이다. 본 실시양태에 이용된 흐름은 상당히 효율적이다.
버퍼 메커니즘은 수직 방향 및 횡방향으로 이동할 수 있고 기판을 버퍼링할 수 있는 임의의 적절한 버퍼 메커니즘일 수 있다. 일 실시양태에서, 버퍼 메커니즘은 상부 엔드 이펙터 상에 기판을 버퍼링하고, 반면에 승강 핀들은 하부 엔드 이펙터 상에 기판을 버퍼링한다.
기판을 이송하는 방법은 반도체 제조 외의 임의의 적절한 응용에 적용될 수 있다. 기판들은 제1챔버와 제2챔버의 사이에서 이송될 수 있다. 기판은 임의의 타입의 기판이 될 수 있다. 일 실시양태에서, 제1기판이 제1챔버에서 이송 암의 상부 엔드 이펙터 상에 로딩된다(공정 (i)). 이송 암은 제1챔버로부터 제2챔버측으로 횡방향으로 연장되고, 이에 의해 제2챔버 내의 제2기판은 이송 암의 상부 엔드 이펙터 및 하부 엔드 이펙터 사이에 위치하는데, 여기서 제1기판은 상부 엔드 이펙터 상에 위치한다(공정 (ⅱ)). 제1기판은 상부 엔드 이펙터로부터 언로딩되고, 제2기판을 하부 엔드 이펙터 상에 로딩시킨다(공정 (ⅲ)). 이송 암은 제2챔버로부터 제1챔버측으로 복귀된다(공정 (ⅳ)). 제2기판은 하부 엔드 이펙터로부터 언로딩되고, 제1챔버 내에서 공정 (i)이 수행된다(공정 (v)). 이후 공정 (ⅱ) 내지 공정 (v)가 이어진다.
본 발명의 적어도 하나의 실시양태는 적어도 다음의 효과들을 보여줄 수 있다. 이러한 효과들이 모든 실시양태들을 한정하기 위한 것은 아니다.
이중의 엔드 이펙터와 버퍼 메커니즘을 조합하여, 반응기 내부에서 처리된 웨이퍼 또는 미처리된 웨이퍼들은 대기 상태로 유지함으로써, 처리된 웨이퍼 및 미처리된 웨이퍼가 매우 효과적으로 교환될 수 있다. 그렇게 할 때, 반응기 하나당 하나의 이송 암을 가지고 있는 구조에도 불구하고, 이중 암을 가지는 장치보다 더 큰 성능을 가지는 장치가 달성될 수 있다. 따라서, 이송속도를 제한하는 요소들에 의해 발생되는 문제가 해결되고, 안정적인 공정과 매우 높은 산출량을 현실화하는 반도체 처리장치가 실현될 수 있다.
또한, 이중 암을 가지는 전통적인 장치와 비교할 때 로드락 챔버의 부피가 감소될 수 있기 때문에, 적은 비용, 작은 풋프린트 및 작은 페이스프린트를 실현하는 반도체 처리장치가 제공될 수 있다.
본원의 개시에 조건 및/또는 구조가 특정되지 않은 경우, 당업자는 본원의 개시를 고려하여 통상적인 실험에 따라, 이러한 조건 및/또는 구조를 용이하게 제공할 수 있다.
당해 기술분야의 통상의 기술자는, 본 발명의 사상으로부터 벗어나지 않은 많은 다양한 변형례들이 만들어질 수 있다는 사실을 이해할 것이다. 그러므로, 본 발명의 형태들은 단지 예시적인 것에 지나지 않고, 본 발명의 범위를 한정하고자 하는 것이 아니라는 것이 명백히 이해되어야 한다.
본 발명의 여러 특징들은, 본 발명을 예시하지만 본 발명을 한정하지 않는 바람직한 실시양태들의 도면을 참조하며 기술될 것이다.
도 1은 본 발명의 일 실시양태에 따른, FOUP, 미니환경(mini-environment) 및 반응기 유닛을 포함한 장치를 설명하기 위한 평면도.
도 2a는 도 1에 도시된 반응기 유닛을 설명하기 위한 평면도.
도 2b는 본 발명의 일 실시양태에 따른 상기 반응기 유닛의 변형된 측면도로서, 웨이퍼 승강 핀들과 버퍼 메커니즘이 나타날 수 있도록 변형된다.
도 3a는 도 1에 도시된 로드락 챔버와 대기압 로봇을 설명하기 위한 평면도.
도 3b는 도 1에 도시된 로드락 챔버와 대기압 로봇을 설명하기 위한 측면도.
도 4는 본 발명의 일 실시양태에 따른 엔드 이펙터들을 설명하기 위한 측면도.
도 5는 본 발명의 일 실시양태에 따른 엔드 이펙터들의 개략적인 사시도.
도 6a는 본 발명의 일 실시양태에 따른 버퍼 메커니즘의 바닥 단부로부터 본 분해 사시도.
도 6b는 본 발명의 일 실시양태에 따른 구동부의 부분적인 단면 사시도.
도 7은 본 발명의 일 실시양태에서 미처리 웨이퍼 및 처리 웨이퍼를 버퍼링할 때의 작동 흐름을 보여주는 도면.
도 8은 본 발명의 일 실시양태에서 반응기의 작동의 개략적인 다이어그램.
상기 도면들에 사용된 부재번호는 다음과 같다. 1은 반응기, 2는 로드락 챔 버, 3은 이송 암, 4는 지지 수단, 5는 지지 수단, 6은 실린더, 7은 서셉터 구동 모터, 8은 승강 액츄에이터, 9는 회전 액츄에이터, 10: 벨로우즈, 11은 회전 액츄에이터, 12는 반도체 웨이퍼, 13은 게이트 밸브, 14는 서셉터, 15는 웨이퍼 승강 핀, 16은 오링, 17인 메인 샤프트, 20은 플래퍼(flapper) 밸브, 21은 센서 도그, 22는 광전 센서, 23은 슬라이드 샤프트, 24는 오링 시일(seal), 25는 반응기 바닥부에 부착되는 부분, 31은 하부 엔드 이펙터, 32는 지지 부재, 33은 상부 엔드 이펙터, 34는 단부, 35는 이동 방향, 36은 후퇴 방향, 104는 게이트 밸브, 105는 대기압 로봇, 106은 FOUP 또는 카세트이다.

Claims (20)

  1. 반응 챔버 내의 기판들을 로딩 및 언로딩하기 위한 기판 이송장치에 있어서,
    직선 방향을 따라 횡(橫)으로 이동 가능한, 말단부를 가진 암(arm); 및
    반응 챔버 내의 기판들을 로딩 및 언로딩하기 위한 엔드 이펙터(end-effector)들;을 구비하고,
    상기 엔드 이펙터들은 하부 엔드 이펙터 및 상부 엔드 이펙터를 포함하고,
    상기 하부 엔드 이펙터 또는 상기 상부 엔드 이펙터 중 어느 하나는 상기 암의 말단부에서 상기 암에 이동 가능하게 결합되고, 상기 하부 엔드 이펙터 또는 상기 상부 엔드 이펙터 중 다른 하나는 상기 이동 가능하게 결합된 엔드 이펙터에 고정되며,
    상기 이동 가능하게 결합된 엔드 이펙터는 전측부, 후측부, 우측부, 좌측부를 구비하고, 상기 고정된 엔드 이펙터는 오직 상기 우측부 및 상기 좌측부에서 상기 이동 가능하게 결합된 엔드 이펙터에 고정되는 다중의 부분들을 구비하는 것을 특징으로 하는 기판 이송장치.
  2. 제1항에 있어서,
    상기 이동 가능하게 결합된 엔드 이펙터는 하부 엔드 이펙터이고,
    상기 고정된 엔드 이펙터는 상부 엔드 이펙터인 것을 특징으로 하는 기판 이송장치.
  3. 제1항에 있어서,
    상기 이동 가능하게 결합된 엔드 이펙터의 전측부 및 후측부는, 상기 이동 가능하게 결합된 엔드 이펙터가 횡으로 이동하는 방향을 대면하는 것을 특징으로 하는 기판 이송장치.
  4. 제1항에 있어서,
    상기 암은 상기 엔드 이펙터들을 일 방향을 따라 횡으로 그리고 직선으로 이동시키도록 구성된 것을 특징으로 하는 기판 이송장치.
  5. 제4항에 있어서,
    상기 암은 관절에 의해 이동 가능하게 상호 결합된 근접 링크부와 말단 링크부를 구비하고,
    상기 엔드 이펙터들은 관절에 의해 상기 말단 링크부에 이동 가능하게 결합된 것을 특징으로 하는 기판 이송장치.
  6. 제1항에 있어서,
    상기 암과 상기 엔드 이펙터들이 후퇴 위치에 있을 때, 상기 암과 상기 엔드 이펙터들이 배치되는 로드락(load-lock) 챔버를 더 구비하는 것을 특징으로 하는 기판 이송장치.
  7. 제2항에 있어서,
    상기 상부 엔드 이펙터는, 상호 평행하게 배치되고, 상기 하부 엔드 이펙터의 우측부 및 좌측부에 각각 고정되는, 2개의 긴 형상의 부분들로 구성된 것을 특징으로 하는 기판 이송장치.
  8. 제7항에 있어서,
    상기 상부 엔드 이펙터의 각각의 긴 형상의 부분은 2개의 기판 접촉부를 가지고, 상기 하부 엔드 이펙터는 4개의 기판 접촉부를 가지는 것을 특징으로 하는 기판 이송장치.
  9. 로드락 챔버;
    게이트 밸브(gate valve)를 통하여 상기 로드락 챔버에 연결된 적어도 하나의 반응 챔버; 및
    상기 로드락 챔버 내에 배치된 제1항의 기판 이송장치;를 포함하고,
    상기 반응 챔버 내의 기판들을 로딩 및 언로딩하기 위해 개방되었을 때, 상기 암과 상기 엔드 이펙터들은 상기 게이트 밸브를 통해 상기 반응 챔버로 연장 가능한 것을 특징으로 하는 기판 처리장치.
  10. 제9항에 있어서,
    상기 로드락 챔버 내의 기판들을 로딩 및 언로딩하기 위해 개방되었을 때, 상기 게이트 밸브를 통해 상기 로드락 챔버로 접근 가능한 대기압 로봇(atmospheric robot)을 더 구비하는 것을 특징으로 하는 기판 처리장치.
  11. 제9항에 있어서,
    상기 반응 챔버는 그 내부에서 기판을 대기 상태로 유지하기 위한 버퍼 메커니즘을 구비하는 것을 특징으로 하는 기판 처리장치.
  12. 반응 챔버와 게이트 밸브를 통해 연결된 로드락 챔버 사이에서 기판을 이송하는 방법에 있어서,
    (i) 상기 로드락 챔버 내에서, 미처리 기판(unprocessed substrate)을 이송 암의 상부 엔드 이펙터 상에 로딩하는 단계;
    (ⅱ) 상기 반응 챔버 내에서 처리 기판(processed substrate)이 배치되는 서셉터를 하강시키고, 그로 인해 상기 서셉터로부터 상측으로 연장되는 승강 핀들 위에서 상기 처리 기판을 지지하는 단계;
    (ⅲ) 상기 게이트 밸브가 개방되면, 상기 이송 암을 상기 로드락 챔버로부터 상기 반응 챔버측으로 횡방향으로 연장하고, 이에 의해 상기 승강 핀들 상에 지지된 상기 처리 기판은 상기 이송 암의 상부 엔드 이펙터 및 하부 엔드 이펙터 사이에 위치하며, 상기 미처리 기판은 상기 상부 엔드 이펙터 상에 위치하는 단계;
    (ⅳ) 상기 반응 챔버 내에 마련된 버퍼 암들을 이용하여 상기 미처리 기판을 지지하며, 그로 인해 상기 버퍼 암들 상에 상기 미처리 기판을 로딩하는 단계;
    (v) 상기 승강 핀들을 하강시키면서 상기 미처리 기판과 함께 상기 버퍼 암들을 상승시키며, 그로 인해 상기 처리 기판을 상기 하부 엔드 이펙터 상에 배치시키는 단계;
    (ⅵ) 상기 이송 암을 상기 반응 챔버로부터 상기 로드락 챔버측으로 후퇴시키고, 상기 게이트 밸브를 폐쇄하는 단계;
    (ⅶ) 상기 미처리 기판과 함께 상기 버퍼 암들을 하강시키고, 그로 인해 상기 서셉터로부터 상측으로 연장되는 승강 핀들 위에서 상기 미처리 기판을 지지하는 단계;
    (ⅷ) 상기 서셉터를 상승시키고, 그로 인해 상기 서셉터 상에 상기 미처리 기판을 로딩하는 단계;
    (ⅸ) 상기 처리 기판을 상기 하부 엔드 이펙터로부터 언로딩하고, 상기 반응 챔버 내에서 상기 미처리 기판을 처리하는 동안, 상기 로드락 챔버 내에서 (i) 단계를 수행하는 단계로서, 이후 (ⅱ) 단계부터 (ⅸ) 단계가 뒤따르는 단계;를 포함하는 것을 특징으로 하는 방법.
  13. 제12항에 있어서,
    상기 이송 암은,
    액츄에이터와 연결되어 횡방향 운동의 다중의 축들을 가지고 횡방향으로 이동하도록 구성된, 말단부를 가진 암; 및
    상기 하부 엔드 이펙터 및 상기 상부 엔드 이펙터를 포함하고,
    상기 하부 엔드 이펙터는 상기 암의 말단부에서 상기 암에 이동 가능하게 결합되고, 상기 상부 엔드 이펙터는 상기 하부 엔드 이펙터에 고정되며,
    상기 하부 엔드 이펙터는 횡으로 이동하는 방향에 대하여 전측부, 후측부, 우측부, 좌측부를 구비하고, 상기 상부 엔드 이펙터는 오직 상기 우측부 및 상기 좌측부에서 상기 하부 엔드 이펙터에 고정되는 다중의 부분들을 구비하는 것을 특징으로 하는 방법.
  14. 제13항에 있어서,
    상기 암은 상기 엔드 이펙터들을 일 방향을 따라 횡으로 그리고 직선으로 이동시키도록 구성된 것을 특징으로 하는 방법.
  15. 제14항에 있어서,
    상기 암은 관절에 의해 이동 가능하게 상호 결합된 근접 링크부와 말단 링크부를 구비하고,
    상기 엔드 이펙터들은 관절에 의해 상기 말단 링크부에 이동 가능하게 결합된 것을 특징으로 하는 방법.
  16. 제13항에 있어서,
    상기 상부 엔드 이펙터는, 상호 평행하게 배치되고, 상기 하부 엔드 이펙터 의 우측부 및 좌측부에 각각 고정되는, 2개의 긴 형상의 부분들로 구성된 것을 특징으로 하는 방법.
  17. 제16항에 있어서,
    상기 상부 엔드 이펙터의 각각의 긴 형상의 부분은 2개의 기판 접촉부를 가지고, 상기 하부 엔드 이펙터는 4개의 기판 접촉부를 가지는 것을 특징으로 하는 기판 이송장치.
  18. 제1챔버와 제2챔버 사이에서 기판을 이송하는 방법에 있어서,
    (i) 상기 제1챔버 내에서 이송 암의 상부 엔드 이펙터 상에 제1기판을 로딩하는 단계;
    (ⅱ) 상기 이송 암을 상기 제1챔버로부터 상기 제2챔버 측으로 횡방향으로 연장하고, 그로 인해 상기 제2챔버 내의 제2기판은 상기 이송 암의 상부 엔드 이펙터와 하부 엔드 이펙터 사이에 위치하고, 상기 제1기판은 상기 상부 엔드 이펙터 상에 위치하는 단계;
    (ⅲ) 상기 상부 엔드 이펙터로부터 상기 제1기판을 언로딩하고, 상기 하부 엔드 이펙터 상에 상기 제2기판을 로딩하는 단계;
    (ⅳ) 상기 이송 암을 상기 제2챔버로부터 상기 제1챔버 측으로 후퇴시키는 단계; 및
    (v) 상기 하부 엔드 이펙터로부터 상기 제2기판을 언로딩하고, 상기 제1챔버 내에서 (i) 단계를 수행하는 단계로서, 이후 (ⅱ) 단계부터 (v) 단계가 뒤따르는 단계;를 포함하는 것을 특징으로 하는 방법.
  19. 제18항에 있어서,
    상기 이송 암은,
    액츄에이터와 연결되어 횡방향 운동의 다중의 축들을 가지고 횡방향으로 이동하도록 구성된, 말단부를 가진 암; 및
    상기 하부 엔드 이펙터 및 상기 상부 엔드 이펙터를 포함하고,
    상기 하부 엔드 이펙터는 상기 암의 말단부에서 상기 암에 이동 가능하게 결합되고, 상기 상부 엔드 이펙터는 상기 하부 엔드 이펙터에 고정되며,
    상기 하부 엔드 이펙터는 횡으로 이동하는 방향에 대하여 전측부, 후측부, 우측부, 좌측부를 구비하고, 상기 상부 엔드 이펙터는 오직 상기 우측부 및 상기 좌측부에서 상기 하부 엔드 이펙터에 고정되는 다중의 부분들을 구비하는 것을 특징으로 하는 방법.
  20. 로드락 챔버;
    게이트 밸브를 통하여 상기 로드락 챔버에 연결된 적어도 하나의 반응 챔버; 및
    상기 로드락 챔버와 상기 반응 챔버 사이에서 기판들을 이송하고, 상기 반응 챔버로부터 후퇴하지 않고 상기 반응 챔버 내에서 미처리 기판과 처리 기판을 대기 상태로 유지하기 위한 수단;을 구비하는 것을 특징으로 하는 기판 처리장치.
KR1020070086570A 2006-08-30 2007-08-28 버퍼 메커니즘을 가진 기판 처리장치 및 기판 이송장치 KR20080020517A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/512,637 US7690881B2 (en) 2006-08-30 2006-08-30 Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
US11/512,637 2006-08-30

Publications (1)

Publication Number Publication Date
KR20080020517A true KR20080020517A (ko) 2008-03-05

Family

ID=39151768

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070086570A KR20080020517A (ko) 2006-08-30 2007-08-28 버퍼 메커니즘을 가진 기판 처리장치 및 기판 이송장치

Country Status (6)

Country Link
US (1) US7690881B2 (ko)
JP (1) JP4912253B2 (ko)
KR (1) KR20080020517A (ko)
CN (1) CN101136349B (ko)
DE (1) DE102007041033A1 (ko)
TW (1) TW200811985A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101127048B1 (ko) * 2009-03-17 2012-03-27 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 기판 처리 방법

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI456683B (zh) * 2007-06-29 2014-10-11 Ulvac Inc 基板搬送機器人
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101099555B1 (ko) * 2010-01-12 2011-12-28 세메스 주식회사 기판 처리 장치
CN101893879B (zh) * 2010-06-01 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体化学气相沉积设备的载板传输控制方法及系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102420161A (zh) * 2011-11-23 2012-04-18 北京七星华创电子股份有限公司 一种运送晶圆状物件的装置及方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130287529A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Method and apparatus for independent wafer handling
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP3474317B1 (en) * 2013-03-13 2022-06-22 Kateeva, Inc. Gas enclosure systems and methods utilizing an auxiliary enclosure
TWI692414B (zh) * 2013-03-13 2020-05-01 美商凱特伊夫公司 用於維護列印系統的方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103560102B (zh) * 2013-10-29 2016-04-27 中国科学院苏州纳米技术与纳米仿生研究所 一种机械手操作系统
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101970449B1 (ko) 2013-12-26 2019-04-18 카티바, 인크. 전자 장치의 열 처리를 위한 장치 및 기술
EP3975229A1 (en) 2014-01-21 2022-03-30 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR102059313B1 (ko) 2014-04-30 2019-12-24 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105702611B (zh) * 2014-11-25 2018-09-07 理想能源设备(上海)有限公司 一种负载传输装置与方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102181121B1 (ko) * 2016-09-20 2020-11-20 주식회사 원익아이피에스 기판 이송 장치 및 기판 이송 장치의 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN106290396B (zh) * 2016-10-25 2018-12-18 东方晶源微电子科技(北京)有限公司 样品缺陷检测装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102439085B1 (ko) * 2016-12-31 2022-08-31 어플라이드 머티어리얼스, 인코포레이티드 공간적 ald 프로세스 균일성을 개선하기 위한 웨이퍼 회전을 위한 장치 및 방법들
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20200078773A (ko) * 2018-12-21 2020-07-02 세메스 주식회사 반전 유닛 및 이를 가지는 기판 처리 장치
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) * 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
USD938373S1 (en) 2019-10-25 2021-12-14 Applied Materials, Inc. Substrate transfer structure
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11335578B2 (en) * 2020-02-13 2022-05-17 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer apparatus and method of measuring positional deviation of substrate
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
JPH07136954A (ja) * 1993-11-24 1995-05-30 Mitsubishi Electric Corp ロボットおよびそのアームの駆動制御方法
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
JP3559139B2 (ja) * 1997-03-21 2004-08-25 大日本スクリーン製造株式会社 基板処理装置
DE19882662T1 (de) * 1997-08-28 2000-08-03 Cvc Products Inc Wafertransportvorrichtung für Mehrstationswerkzeug
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
TW444321B (en) * 1999-01-12 2001-07-01 Tokyo Electron Ltd Vacuum processing apparatus
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
US20020102859A1 (en) * 2001-01-31 2002-08-01 Yoo Woo Sik Method for ultra thin film formation
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
JP2003037146A (ja) * 2001-07-24 2003-02-07 Asm Japan Kk バッファ機構を有する半導体製造装置及び方法
JP2003037147A (ja) * 2001-07-25 2003-02-07 Tokyo Electron Ltd 基板搬送装置及び熱処理方法
US7100340B2 (en) * 2001-08-31 2006-09-05 Asyst Technologies, Inc. Unified frame for semiconductor material handling system
US20030053904A1 (en) * 2001-09-14 2003-03-20 Naofumi Kirihata Wafer aligner
JP3911624B2 (ja) * 2001-11-30 2007-05-09 東京エレクトロン株式会社 処理システム
US7891935B2 (en) 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
JP3955504B2 (ja) * 2002-06-27 2007-08-08 サンデン株式会社 車両空調装置用ハイブリッド圧縮機の起動方法
US6748293B1 (en) * 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101127048B1 (ko) * 2009-03-17 2012-03-27 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 기판 처리 방법

Also Published As

Publication number Publication date
US7690881B2 (en) 2010-04-06
CN101136349A (zh) 2008-03-05
JP2008060577A (ja) 2008-03-13
DE102007041033A1 (de) 2008-04-10
US20080056854A1 (en) 2008-03-06
TW200811985A (en) 2008-03-01
CN101136349B (zh) 2010-12-08
JP4912253B2 (ja) 2012-04-11

Similar Documents

Publication Publication Date Title
KR20080020517A (ko) 버퍼 메커니즘을 가진 기판 처리장치 및 기판 이송장치
US10403523B2 (en) Substrate processing apparatus
US7628574B2 (en) Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US6877946B2 (en) Wafer transport apparatus
EP0272141B1 (en) Multiple chamber integrated process system
US6742977B1 (en) Substrate processing device, substrate conveying device, and substrate processing method
JP4753224B2 (ja) ガスラインシステム
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
KR100269097B1 (ko) 기판처리장치
KR20040105729A (ko) 반도체 처리 시스템에 있어서의 지지 기구
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
KR102244352B1 (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
JP7225613B2 (ja) 基板搬送機構、基板処理装置及び基板搬送方法
EP1749116B1 (en) Methods and apparatuses for transferring articles through a load lock chamber under vacuum
KR20210055082A (ko) 진공 처리 장치 및 기판 반송 방법
JPH10107124A (ja) 基板処理装置
US6860711B2 (en) Semiconductor-manufacturing device having buffer mechanism and method for buffering semiconductor wafers
KR101426225B1 (ko) 기판 처리 시스템 및 방법
JP2004235538A (ja) 搬送装置,真空処理装置およびoリング
KR100274308B1 (ko) 멀티 챔버 처리시스템
US11769681B2 (en) Transfer robot and substrate processing apparatus having the same
KR20110016642A (ko) 기판처리장치
KR100807600B1 (ko) 반도체 자재 예열 기능을 갖는 인덱서
KR101367898B1 (ko) 플라즈마 감금 장벽 및 이를 구비한 기판 처리 시스템 및방법
KR20240079968A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid