KR20150131117A - 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법 - Google Patents

기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법 Download PDF

Info

Publication number
KR20150131117A
KR20150131117A KR1020157028049A KR20157028049A KR20150131117A KR 20150131117 A KR20150131117 A KR 20150131117A KR 1020157028049 A KR1020157028049 A KR 1020157028049A KR 20157028049 A KR20157028049 A KR 20157028049A KR 20150131117 A KR20150131117 A KR 20150131117A
Authority
KR
South Korea
Prior art keywords
facet
robot
coupled
carousel
electronic device
Prior art date
Application number
KR1020157028049A
Other languages
English (en)
Other versions
KR102214394B1 (ko
Inventor
윌리엄 티. 위버
말콤 엔. 주니어 다니엘
로버트 비. 보팻
제이슨 엠. 샬러
제이콥 뉴맨
디네쉬 카나와데
앤드류 제이. 콘스탄트
스티븐 씨. 히커슨
제프리 씨. 허진스
마빈 엘. 프리맨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150131117A publication Critical patent/KR20150131117A/ko
Application granted granted Critical
Publication of KR102214394B1 publication Critical patent/KR102214394B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1682Dual arm manipulator; Coordination of several manipulators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • B25J9/043Cylindrical coordinate type comprising an articulated arm double selective compliance articulated robot arms [SCARA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/27Arm part
    • Y10S901/28Joint
    • Y10S901/29Wrist
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

전자 디바이스 프로세싱 시스템이 설명되어 있다. 시스템은 이송 챔버, 제 1 패싯, 제 1 패싯에 대향하는 제 2 패싯, 제 3 패싯, 그리고 제 3 패싯에 대향하는 제 4 패싯을 갖는 메인프레임 하우징, 제 1 패싯에 커플링된 제 1 캐러셀 조립체, 상기 제 3 패싯에 커플링된 제 2 캐러셀 조립체, 상기 제 2 패싯에 커플링된 제 1 로드 록, 상기 제 4 패싯에 커플링된 제 2 로드 록, 및 상기 제 1 및 제 2 캐러셀들로부터 기판들을 교환하기 위해 상기 이송 챔버에서 작동하도록 구성된 로봇을 포함한다. 수많은 다른 양태들에서와 같이, 기판을 운송하기 위한 방법 및 다축 로봇이 설명된다.

Description

기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법{SUBSTRATE DEPOSITION SYSTEMS, ROBOT TRANSFER APPARATUS, AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING}
관련 출원들
[0001] 본 출원은 2013년 9월 17일에 출원된 "기판 증착 시스템, 로봇이송 장치, 및 전자 디바이스 제조 방법"(대리인 번호 20666USAL03/FEG/SYNX)이라는 발명의 명칭의 미국 특허가출원 일련 번호 제 61/879,076호, 또한 2013년 8월 22일 출원된 "기판 증착 시스템,로봇이송 장치, 및 전자 디바이스 제조 방법 "이라는 발명의 명칭의 미국 특허가출원 일련 번호 제 61/868,795호(대리인 번호 20666USAL02/FEG/SYNX), 그리고 또한 2013년 3월 15일 출원된 "기판 증착 시스템, 장치 및 전자 디바이스 제조 방법"이라는 발명의 명칭의 미국 특허가출원 일련 번호 제 61/787,117호(대리인 번호 20666USA의 L/FEG/SYNX/CROC)로부터로부터 우선권을 주장하고, 이들 모두는 모든 목적을 위해 그 전체가 본원의 명세서에 참고로 포함된다.
[0002] 본 발명은 전자 디바이스 제조에 관한 것으로, 보다 구체적으로 챔버들 사이의 기판의 이동을 위한 장치, 시스템 및 방법에 관한 것이다.
[0003] 기존 전자 디바이스 제조의 시스템은 주프레임 섹션 주위에 배치된 다수의 프로세스 챔버들 및 하나 또는 그 이상의 로드 록의 챔버들을 포함할 수 있다. 이러한 전자 디바이스 제조 시스템들은 클러스터 툴들에 포함될 수 있다. 이러한 전자 디바이스 제조 시스템 및 툴들은 예를 들면, 이송 챔버에 수용될 수 있는 이송 로봇을 활용할 수 있고 그 다양한 프로세스 챔버들과 하나 또는 그 이상의 로드 록의 챔버들 사이에 기판들을 운반하도록 구성된다. 예를 들어, 이송 로봇은 프로세스 챔버로부터 프로세스 챔버로, 로드 록 챔버로부터 프로세스 챔버로, 기판들을 운반할 수 있고, 그리고 그 반대도 마찬가지이다. 다양한 챔버들 사이에서 기판들의 신속하고 정확한 운반은 효율적인 시스템 처리량을 제공할 수 있어서, 따라서 전반적인 운영 비용을 절감한다. 이러한 기존의 시스템 및 장치가 충분한 처리량을 포함하지만, 추가적인 처리량 이득들이 추구된다.
[0004] 따라서, 기판들의 프로세싱 및 이동에서 향상된 효율을 갖는 시스템, 장치 및 방법들이 요구된다.
[0005] 일 양상에서, 전자 디바이스 프로세싱 시스템이 제공된다. 전자 디바이스 프로세싱 시스템은 이송 챔버, 제 1 패싯, 제 1 패싯에 대향하는 제 2 패싯, 제 3 패싯, 그리고 제 3 패싯에 대향하는 제 4 패싯을 포함하는 주프레임 하우징; 제 1 패싯에 커플링된 제 1 캐러셀 조립체, 상기 제 3 패싯에 커플링된 제 2 캐러셀 조립체, 상기 제 2 패싯에 커플링된 제 1 로드 록, 상기 제 4 패싯에 커플링된 제 2 로드 록, 및 상기 제 1 캐러셀 및 제 2 캐러셀 모두로부터 기판들을 교환하기 위해 상기 이송 챔버에서 작동하도록 구성된 로봇을 포함한다.
[0006] 다른 양태에서, 전자 디바이스 프로세싱 시스템 내에 기판들을 운송하는 방법이 제공된다. 상기 방법은 이송 챔버, 제 1 패싯, 제 1 패싯에 대향하는 제 2 패싯, 제 3 패싯, 그리고 제 3 패싯에 대향하는 제 4 패싯을 포함하는 주프레임 하우징을 제공하는 단계, 제 1 패싯에 커플링된 제 1 캐러셀 조립체를 제공하는 단계, 상기 제 2 패싯에 커플링된 제 1 로드 록을 제공하는 단계, 상기 제 1 캐러셀로부터 기판들을 교환하기 위해 상기 이송 챔버에서 작동하도록 구성된 로봇을 제공하는 단계, 및 상기 제 1 캐러셀 내로 제 1 기판 그리고 상기 제 1 로드 록 내로 제 2 기판을 동시에 또는 순차적으로 배치하는 단계, 을 포함한다.
[0007] 다른 양태에서, 다축 로봇이 제공된다. 다축 로봇은 쇼울더 축을 중심으로 회전하도록 구성된 제 1 상부 아암, 제 1 상부 아암의 아웃보드 단부에서 상기 제 1 상부 아암에 회전하게 커플링된 제 1 포어아암, 제 1 포어아암의 제 1 외측 위치에서 상기 제 1 포어아암에 회전하게 커플링된 제 1 손목부 부재 및 상기 제 1 손목부 부재에 커플링된 제 1 엔드 이펙터를 포함하는 제 1 스카라, 쇼울더 축을 중심으로 회전하도록 구성된 제 2 상부 아암, 상기 제 2 상부 아암의 아웃보드 단부에서 상기 제 2 상부 아암에 회전 가능하게 커플링된 제 2 포어아암, 상기 제 2 포어아암의 제 2 외측 위치에서 상기 제 2 포어아암에 회전하게 커플링된 제 2 손목부 부재 및 제 2 손목부 부재에 커플링된 제 2 엔드 이펙터를 포함하는 제 2 스카라를 포함하고, 상기 제 1 스카라의 제 1 엔드 이펙터가 상기 쇼울더 축으로부터 제 1 방향으로 연장하며 그리고 상기 제 2 스카라가 상기 쇼울더 축로부터 제 2 방향 연장한다.
[0008] 다른 양태에서, 전자 디바이스 프로세싱 시스템이 제공된다. 전자 디바이스 프로세싱 시스템은 이송 챔버를 포함하는 메인프레임 하우징, 상기 메인프레임 하우징의 제 1 패싯에 커플링된 프로세스 챔버, 상기 제 1 패싯로부터 대체로 대향하는 위치에서 상기 이송 챔버의 다른 패싯에 커플링된 로드 록 및 제 1 엔드이펙터를 포함하는 제 1 스카라로봇 및 제 2 엔드이펙터를 구비한 제 2 스카라로봇을 포함하는 로봇을 포함하고, 제 1 및 제 2 엔드 이펙터는 프로세스 챔버와 로드 록 사이에서 기판들을 교환하기 위해 이송 챔버 내에서 이동하도록 구성되고, 상기 엔드 이펙터 및 상기 제 2 엔드 이펙터는 실질적으로 공동-평행의 작용선들을 따라 연장하고 후퇴하도록 동작가능하다.
[0009] 다수의 다른 양태는 이들 및 본 발명의 다른 실시예들에 따라 제공된다. 본 발명의 실시예들의 다른 특징 및 양태는 다음의 상세한 설명, 첨부된 청구범위, 및 첨부 도면으로부터 더욱 완전히 명백해질 것이다.
[0010] 도 1A는 실시예들에 따른 다축 로봇 장치를 포함하는 (챔버 상단들이 제거된) 전자 디바이스 프로세싱 시스템의 부분적으로 횡단 개략적인 평면도를 도시한다.
[0011] 도 1B는 실시예에 따른 도 1A의 단면의 선 1B-1B를 따라 취해진 배치 로드 록 장치(batch load lock apparatus)의 측단면도를 도시한다.
[0012] 도 2A는 분리되어 표시된, 실시예들에 따른 다축 로봇 장치의 평면도를 도시한다.
[0013] 도 2B는 실시예에 따른 다축 로봇 장치의 측단면도를 도시한다.
[0014] 도 2C는 엔드 이펙터들이 제거된 실시예에 따른 다축 로봇 장치의 사시도를 도시한다.
[0015] 도 2D는 일부 아암들이 구동 구성요소들을 노출시키도록 제거되고 그리고 엔드 이펙터들이 제거된, 실시예에 따른 도 2C의 다축 로봇 장치의 사시도를 도시한다.
[0016] 도 2E는 엔드 이펙터들이 완전히 후퇴되면서(손목부 구성 위의 블레이드에 도시됨) 그리고 회전 이동을 위해 준비된 실시예에 따른 다축 로봇 장치의 사시도를 도시한다.
[0017] 도 2F는 엔드 이펙터들이 각각 실질적으로 공동-평행의 작용선들을 따라 연장된 실시예에 따른 다축 로봇 장치의 사시도를 도시한다.
[0018] 도 2G는 엔드 이펙터들이 실시예들에 따른 실질적 공동-평행의 작용선들을 따라 후퇴된 메인프레임 하우징에 설치된 다축 로봇 장치의 사시도를 도시한다.
[0019] 도 3은 실시예들에 따른 전자 디바이스 프로세싱 시스템 내에 기판들을 운송하는 방법을 보여주는 플로우 챠트를 도시한다.
[0020] 도 4는 실시예들에 따른 전자 디바이스 프로세싱 시스템 내에서 기판들을 운송하는 다른 방법을 보여주는 플로우차트를 도시한다.
[0021] 도 5는 실시예들에 따른 회전 이동(스왑 이동)을 실행할 때 전자 디바이스 프로세싱 시스템의 엔드 이펙터들에 의해 수행될 수 있는 하나의 이송 경로를 도시한다.
[0022] 도 6A-6B는 실시예들에 따른 완전-후퇴된 구성에서 그리고 그 위에 기판을 구비하는 그리고 구비하지 않는 엔드 이펙터를 보여주는 전자 디바이스 프로세싱 시스템의 평면도를 도시한다.
[0023] 도 7A-7B는 실시예들에 따른 완전-후퇴된 구성에서 그리고 그 위에 기판을 구비하는 그리고 구비하지 않는 엔드 이펙터를 보여주는 전자 디바이스 프로세싱 시스템의 또다른 실시예의 정면도를 도시한다.
[0024] 도 8은 실시예들에 따른 제조 시스템의 감소된 협폭 풋프린트를 도시하는 복수의, 사이드 바이 사이드로(나란히) 지향된, 전자 디바이스 프로세싱 시스템을 포함하는 제조 시스템의 개략적인 평면도를 도시한다.
[0025] 도 9A-9B는 실시예들에 따른 스트레이트 다이아몬드 플랫폼 구성(도 9A)으로 그리고 교번적으로 회전하는 다이아몬드 플랫폼 구성(도 9B)으로 전자 디바이스 프로세싱 시스템의 개략적인 평면도를 도시한다.
[0026] 전자 디바이스 제조는 다양한 위치들 사이에서 기판의 매우 정확하고 빠른 운송을 필요로 할 수 있다. 특히, 일부 실시예들에서, 엔드 이펙터들(때로는 "블레이드"라고 함)은 로봇의 아암에 부착될 수 있고 그리고 전자 디바이스 프로세싱 시스템의 챔버들로 그리고 챔버들로부터 엔드 이펙터들 상에 안착되는 기판을 운반하도록 구성될 수 있다. 이러한 전자 디바이스 제조 시스템은 이러한 엔드 이펙터들을 포함하는 이송 챔버에 배치된 다축 로봇을 포함할 수 있다. 이는 제 1 기판이 챔버로부터 추출되도록 하며, 그리고 이후 제 2 웨이퍼에 의해 동일한 챔버에서 대체되게 한다. 목표는 가능한 빨리 이러한 이송을 달성하는 것이다. 그러나, 기존의 다축 로봇들은 상당한 다른 로봇의 이동 없이 이송을 할 수 없다. 이러한 추가적인 이동들은 가능한 전체 이송 속도를 늘릴 수 있다. 또한, 출사 로봇들은 이러한 챔버들을 액세스할 수 있는 방법에서 제한될 수 있다. 또한, 오정렬 조정 능력은 로봇들을 포함하는 일부 종래 시스템에 제한될 수 있다.
[0027] 또한, 간단한 구축 때문에, 가능하다면, 선택적인 컴플라이언스 조립체 로봇 아암(SCARA) 로봇을 사용하는 것이 바람직하다.
[0028] 따라서, 하나 이상의 실시예들에서, 전자 디바이스 제조에서 프로세스 챔버들(예를 들면, 캐러셀들)로 그리고 그로부터 기판들을 이송을 위해 사용될 수 있는 다축 로봇 장치 및 로드 록이 제공된다.
[0029] 본 발명의 하나 이상의 실시예들에 따라, 개선된 다축 로봇 장치가 제공된다. 캐러셀 및 로드 록의 프로세스 챔버의 로딩 및 언로딩이 실질적으로 동시에 수행될 수 있도록 본 발명의 다축 로봇 장치는 반대 방향들로 각각 실질적 공동-평행의 작용선들을 따라 작동가능한 듀얼 스카라(SCARA)들을 포함한다. 하나 또는 그 이상의 추가의 실시들에서, 스카라 로봇의 각각은 작용선을 따라 연장하며 후퇴하도록 독립적으로 제어할 수 있다. 이러한 방식으로, 기판 오정렬 교정은 캐러셀의 로딩 챔버에서 및/또는 로드 록에서 독립적으로 수행될 수 있다. 이것은 로드 록과 캐러셀의 대향하는 로딩 프로세스 챔버 사이에서 기판들(예를 들면, 실리콘 웨이퍼들)의 빠른 스왑들의 능력을 제공한다. 특히, 실질적인 동일-평행선의 작용선들을 따르는 독립 제어는 하나 또는 그 이상의 실시예들에 있어서, 각각의 엔드 이펙터에 대한 서로 다른 반경방향 연장 거리들을 위한 능력 및/또는(로봇의 회전 이동없이) 순차적으로 입력하고 챔버들로부터 후퇴하는 것을 제공할 수 있다. 또한, 다음의 설명으로부터 명백할 것과 같이, 다축 로봇 장치가 제 1 캐러셀과 제 1 로드 록의 제 1 대향 쌍 및 제 2 캐러셀과 제 2 로드 록의 제 2 대향 쌍을 제공하도록 작동될 수 있다. 따라서, 하나의 캐러셀이 다른 하나가 언로드/다시 로드되는 동안 프로세싱될 수 있고, 그 반대도 마찬가지이기 때문에, 다축 로봇 장치는 종래의 캐러셀 시스템 구성들보다 더 충분히 활용될 수 있다.
[0030] 본 발명의 하나 이상의 실시예들에 따라, 다축 로봇 장치를 포함하는 전자 디바이스의 프로세싱 시스템들이 제공된다. 본 발명의 하나 이상의 추가적인 실시예들에 따라, 전자 디바이스 프로세싱 시스템을 이용하여 기판들을 이송하는 방법이 제공된다. 다축 로봇 장치는 예를 들어, 다중 스테이션 캐러셀과 다중 위치 로드 락 사이에서 기판들을 이송하는데 능숙하다. 다중 스테이션 캐러셀 및 다중 위치 로드 락은 개선된 서비스 가능성을 제공할 수 있는 다이아몬드 플랫폼 구성에 배치될 수 있다. 예를 들어, 메인프레임 하우징, 캐러셀들, 캐러셀의 챔버들, 및 로드 록들의 우수한 서비스 기능성이 제공될 수 있다. 또한 다이아몬드 플랫폼 구성은 감소된 영역의 플로어 풋프린트를 제공할 수 있다.
[0031] 본 발명의 예시적인 실시예들의 추가 세부사항들이 본 명세서의 도 1A 내지 도 9B를 참조하여 설명된다.
[0032] 도 1A은 본 발명의 실시예들에 따른 전자 디바이스 프로세싱 시스템(100)의 예시적인 실시예의 개략도이다. 전자 디바이스 프로세싱 시스템 (100)은 이송 챔버(102)를 형성하는 벽들을 갖는 메인프레임 하우징(101)을 포함할 수 있다. 본 발명의 다른 실시예에 따른 다축 로봇 장치 (103)는 이송 챔버 (102) 내에 적어도 부분적으로 수용될 수 있다. 다축 로봇 장치(103)는 아래에 충분히 설명되는 다축 로봇 장치(103)의 작동을 통해 목적지로부터 그리고 목적지로 기판들(예를 들면, 제 1 기판(105) 및 제 2 기판(106))을 배치하거나 추출하도록 설정되고 구성될 수 있다.
[0033] 기판들(105,106)의 목적지들은 적어도 제 1 캐러셀 (108) 및 제 1 로드 록(112)일 수 있으나, 또한 제 2 캐러셀(110) 및 제 2 로드 록(114)을 포함할 수 있다. 캐러셀(108, 110)는 각각 그 위에 다중 기판 배치 위치들(예를 들어, 세 개 또는 그 이상, 네 개 또는 그 이상, 5 개 또는 그 이상, 또는 심지어는 6 개 또는 그 이상)을 갖는 캐러셀 챔버(108C, 110C) 및 회전 캐러셀 플랫폼(108P, 110P)을 가질 수 있다. 캐러셀(108, 110)은 입구들(108E, 110E)을 경유하여 이송 챔버(102)에 커플링되는 하나 또는 그 이상의 프로세스 챔버들을 포함한다. 캐러셀 챔버들(108C, 110C) 내의 프로세스 챔버들은 캐러셀들(108,110) 내에 배치된 기판 상의 원자층 증착(ALD) 등과 같은 임의의 수의 프로세스들을 수행하도록 구성될 수 있다. 다른 프로세스들은 또한 내부에서 수행될 수 있다. 기판들이 대략 캐러셀 플랫폼들(108P, 110P)의 스테이션들 상에서 회전함으로써 프로세스들이 수행된다.
[0034] 로드 록(112, 114)은 팩토리 인터페이스(116)의 로드 포트들에 도킹된 기판 캐리어들(118)로부터 하나 또는 그 이상의 기판들을 수용할 수 있는 팩토리 인터페이스(116)와 인터페이스하도록 구성될 수 있다. 기판들은 팩토리 인터페이스(116)의 로드/언로드 로봇(120)(점선 표시)에 의해 이송될 수 있고 그리고 상기 이송은 임의의 시퀀스(순서) 또는 방향에서 일어날 수 있다. 팩토리 인터페이스(116)에서의 로드/언로드 로봇(120)은 전체적으로 기존의 것일 수 있다. 본원에서 사용되는 기판들은 실리카 - 함유 웨이퍼들, 유리 디스크들, 마스크들 등과 같은 회로 구성 요소들 또는 전자 디바이스들을 만들기 위해 사용되는 물품들을 의미한다.
[0035] 도 1A의 전자 디바이스 프로세싱 시스템(100)은 대체로 서로으로부터 대향되는 것으로 도시된 바와 같이 두 개의 캐러셀들(예를 들어,(108, 110)) 및 두 개의 로드 록들((112, 114))을 포함한다. 캐러셀들(108, 110)은 프로세싱을 받으면서 기판들이 지지될 수 있는 플랫폼들(108P, 110P) 상의 여러 스테이션들을 포함할 수 있다. 로드 록들(112, 114)은 이후에 후술될, 다중 기판 지지체를 포함할 수 있고, 그 위에 기판들이 지지될 수 있다.
[0036] 이 실시예에서, 도시 한 바와 같이, 로드 록들(112, 114)의 각각의 패싯들(102B 및 102D)은 팩토리 인터페이스(116)의 인터페이스 벽(119)에 대해 소정의 각도(122)로 배향된다. 각도(122)는 (예를 들면 인터페이스 팩토리 인터페이스(116)의 벽(119)에 대해) 약 45도일 수 있다. 이러한 소위 "다이아몬드 플랫폼 구성"은 실질적인 공동 평행의 작용선들(144A, 144B)을 따라, 제 1 캐러셀(108) 및 제 1 로드 록(112)에서 기판들의 동시 교환을 허용할 수 있다. 또한, 이러한 다이아몬드 플랫폼 구성은 각각의 실질적인 공동-평행 작용선들 (145A, 145B)을 따라 제 2 캐러셀 (110) 제 2 로드 록(114)에서 동시 교환을 허용할 수 있다. 명백한 바와 같이, 다른 실시예에서, 교환들은 제 1 캐러셀(108) 및 로드 록(112)(및 제 2 캐러셀(110) 및 로드 록(114))에서 순차적(즉, 하나씩)일 수 있고, 이에 따라 오정렬 교정을 허용한다. 예를 들어, 약 30도 내지 60도와 같이, 다른 각도들(122)이 사용될 수 있다. 명백한 바와 같이, 다이아몬드 플랫폼 구성은 다축 로봇(103)이 제 1 캐러셀(108) 및 로드 록(112) 그리고 제 2 캐러셀(110) 및 로드 록(114)의 각각에 기능하게 한다.
[0037] 일부 실시예들에서, 이송 챔버(102)는 예를 들어, 진공 하에서 작동될 수 있다. 캐러셀들(108, 110) 및 로드 록들(112, 114)의 각각은 그 챔버로 그리고 챔버로부터 기판을 배치하거나 추출할 때 개방하고 폐쇄할 수 있도록 구성된 입구/출구에서 슬릿 밸브를 포함할 수 있다. 슬릿 밸브들은 L-모션 슬릿 밸브들과 같이 종래의 임의의 적합한 구조일 수 있다. 일부 실시예들에서, 각각의 로드 록들(112, 114)에 대한 입구에서의 슬릿 밸브들은 로봇(103)의 수직 높이 변화없이 로드 록들(112,114)에 쉽게 액세스할 수 있도록 스카라들(103A, 103B)의 상이한 높이 엔드 이펙터들을 가능하게 하기 위해 이중 높이일 수 있다.
[0038] 다축 로봇 장치(103)의 다양한 구성 요소들의 모션은 제어기(125)로부터 다축 로봇 장치(103)의 복수의 구동 모터들을 포함하는 구동 조립체(도시하지 않음)에 대한 적합한 명령들에 의해 제어될 수 있다. 제어기(125)는 프로세서, 프로세싱하고 구동 모터에 신호를 전송하도록 구성된 메모리, 및 적절한 전자 구성요소들을 갖는 임의의 적절한 전자 제어기일 수 있다. 다음에서 명백한 바와 같이, 제어기(125)로부터의 신호들은 다양한 다축 로봇 장치(103)의 구성요소들의 모션을 야기할 수 있다. 적절한 피드백은 위치 인코더들 등과 같은 다양한 센서들에 의해 각 구성 요소에 대해 제공될 수 있다.
[0039] 다이아몬드 플랫폼 구성은 예를 들어, 미국 특허 번호 5789878; 5,879,127; 6,267,549; 6,379,095; 6,582,175; 및 6,722,834; 및 미국 특허 공개번호 2010/0178147; 2013/0039726; 2013/0149076; 2013/0115028; 및 2010/0178146에 기재된 로봇들과 같은 상이한 다축 로봇 타입들을 수용할 수 있다. 다른 적합한 로봇 타입들이 도시된 로봇(103) 대신에 사용될 수 있다.
[0040] 본원에 기재된한 특정의 실시예에서, 다축 로봇 장치(103)는 도 2B에 도시된 바와 같이 세 개의 모터를 포함할 수 있다. 도 2B에 도시된 바와 같이, 모터(265)는 제 1 쇼울더 축(127)에 대해 다축 로봇 장치(103)의 제 1 샤프트(103S1)를 회전하는데 사용될 수 있다. 이러한 회전은 작용선(144A)을 따라 제 1 스카라 로봇(103A)의 손목부 부재(132)를 연장하거나 후퇴시킨다.
[0041] 제 1모터(265) 위에 위치될 수 있는, 제 2 모터(270)는 다축 로봇 장치(103)의 제 2 샤프트(103S2)를 회전시키는데 사용될 수 있다. 이러한 회전은 작용선(144A)과 실질적으로 공동 평행일 수 있는, 제 2 작용선(144B)을 따라 제 2 스카라 로봇(103B)의 손목부 부재(140)를 연장하거나 후퇴시킨다.
[0042] 제 1 및 제 2 모터(265, 270)사이에 배치될 수 있는, 제 3 모터(275)는 다축 로봇 장치(103)의 제 3 샤프트(103S3)를 회전시키는데 사용될 수 있다. 방향 화살표(121)(도 1A)에 의해 도시된 바와 같이, 이 회전은 본 실시예에서 함께 커플링되는 풀리들(276,278)을 회전시키고 그리고 스카라 로봇(103A, 103B)이 쇼울더 축(127)에 대해 동시에(in unison) 회전시키는 것을 야기한다. 이 회전 이동은 각 캐러셀(108,110)과 대응하는 반경방향-대향 로드 록(112, 114) 사이에서 기판들의 스왑을 수행하기 위해 사용될 수 있다. 제 1 및 제 2 샤프트(103S1, 103S2)의 회전은 실질적으로 공동 평행의 작용선들(144A, 144B)(점선 표시)을 따라 SCARAs(103A, 103B)의 각각을 연장하고 후퇴시키기 위해 사용될 수 있다. 이러한 연장과 후퇴 동안, 제 3 모터(275) 및 샤프트(103S3)는 정적으로 남아 있다.
[0043] 일 실시예에서, 제 1 및 제 2 모터 (265, 270)는 작용선들(144A, 144B, 145A, 145B)을 따라 제 1 스카라(103A) 및 제 2 스카라 로봇(103B)의 연장 및 후퇴를 제어하도록 독립적으로 제어되고 작동될 수 있다. 따라서, 연장과 후퇴의 수량 및 방향은 각각의 작용선들(144A, 144B, 145A, 145B)을 따라 독립적으로 제어될 수 있다.
[0044] 다른 실시예에서, 제 1 및 제 2 모터(265, 270)는 제 1 및 제 2 스카라 로봇(103A, 103B)의 동시의 연장 및 후퇴를 야기하도록 제어할 수 있다. 따라서, 제 1 및 제 2 스카라 로봇들(103A, 103B)의 엔드 이펙터들(134,142)(도 2A)은 반경 방향 대향 챔버들 내로 독립적으로 연장 및 후퇴 중 어느 하나를 할 수 있고, 또는 동시에 반경 방향 대향 챔버들 내로 연장할 수 있다.
[0045] 도 2A-2F에 도시된 바와 같이, 다축 로봇 장치(103)는 제 1 스카라 로봇(103A) 및 제 2 스카라 로봇(103B)을 포함한다. 제 1 스카라 로봇(103A)은 쇼울더 축 (127)에 대해 회전가능한 제 1 상부 아암(124)을 포함한다. 다축 로봇 장치(103)는 메인프레임 하우징(101)의 벽(예, 플로어)에 부착되도록 구성된 베이스(128)를 포함할 수 있다. 그러나, 일부 실시예들에서 다축 로봇 장치(103)는 메인프레임 하우징(101)의 천장에 부착될 수 있다. 따라서, 다축 로봇 장치(103)는 메인프레임 하우징(101)에 의해 적어도 부분적으로 지지될 수 있다.
[0046] 다축 로봇 장치(103)는 또한, 이송 챔버(102)의 외부에 위치될 수 있고 그리고 상부 아암(124) 및 여기에서 설명될 다양한 기타 아암들 및 구성 요소들을 구동하도록 구성될 수 있는 구동 조립체(222)(도 2B)를 포함할 수 있다. 다시 도 2A 참조하면, 상부 아암(124)은 쇼울더 축(127)을 중심으로 시계 방향 또는 반시계 회전 방향 중 하나의 방향으로 회전되도록 구성될 수 있다. 회전은 종래의 가변 릴럭턴스 또는 구동 조립체(222)에 위치된 영구 자석 전기 모터(도 2B 참조)와 같은 임의의 적합한 구동 모터에 의해 제공될 수 있다. 상부 아암(124)의 회전은 제어기(125)로부터 구동 모터에 대한 적합한 명령에 의해 제어될 수 있다. 상부 암(124)은 쇼울더 축(127)을 중심으로 베이스(128)에 대하여 XY 평면에서 회전되도록 구성된다.
[0047] 도 2A 및 도 2의 도시된 실시예에서, 로봇 장치(103)는 제 1 스카라(103A)에서, 축(127)으로부터 이격된 상부 아암(124)의 반경방향 아웃보드 단부에서 상부 아암(124)에 회전되게 커플링될 수 있는 제 1 포어아암(130)을 포함한다. 도시된 실시예에서, 제 1 포어아암 (130)은 아웃보드 위치에서 상부 아암(124)의 제 1 아웃보드 단부에 장착되고 그리고 제 2 회전 축선(127A)에 대해 회전 가능하다. 제 1 포어아암(130)의 회전은 제 1 상부 아암(124)에 대해 약 +/- 150도일 수 있다. 제 1 포어아암(130)의 회전은 제 1 상부 아암(124)의 회전이 제 1 포어아암(130)의 대응하는 운동 회전을 발생시키도록 구동 구성요소들(예, 도 2B 및 도 2D에 도시된 바와 같은 풀리들 및 벨트들)을 통해 운동학적으로 링크될 수 있다.
[0048] 또한, 제 1 손목부 부재 (132)는 제 1 포어아암 (130) 상의 제 1 외측 위치에 커플링될 수 있고, 그리고 제 1 손목부 축에 대해 제 1 포어아암(130)에 대해 회전가능이다. 제 1 손목부 축은 소정의 거리 만큼 제 2 회전축(127A)으로부터 이격될 수 있다. 제 1 손목부 부재 (132)는 거기에 커플링된 제 1 엔드 이펙터 (134)를 가질 수 있다. 제 1 엔드 이펙터(134)는 기판 프로세싱 시스템(100) 내에서 프로세싱될 기판(105)을 나르도록 설정되고 구성된다. 제 1 포어아암(130)에 대해 제 1 손목부 부재(132) 그리고 이에 따른 커플링된 제 1 엔드 이펙터(134)의 회전은 약 +/-150도일 수 있다. 제 1 상부 아암(124), 제 1 포어아암(130), 제 1 손목부 부재(132) 및 제 1 엔드 이펙터(134) 그리고 해당 구동 모터들 및 구동 조립체(222)에 포함된 구동 샤프트는 제 1 스카라 로봇(103A)을 구성한다. 제 1 상부 아암(124), 제 1 포어아암(130), 및 제 1 손목부 부재(132)의 회전은 제 1 상부 아암(124)의 회전이 제 1 포어아암(130)의 상응하는 회전을 야기하도록 구동 구성요소들(예를 들면, 벨트 및 풀리)을 통해 운동학적으로 링크될 수 있고, 상기 제 1 포어아암(130)의 상응하는 회전은 제 3 모터(275)가 정적으로 남아 있을 때 제 1 엔드 이펙터(134)가 순수하게 작용선(144A)을 따라 평행이동하도록 상기 제 1 손목부 부재(132)의 상응하는 회전을 발생시킨다.
[0049] 스카라는 본원에서 선택적 컴플라이언스 관절 로봇 조립체로서 정의되며, 그리고 로봇의 아암들(예를 들어, 제 1 상부 아암(124), 제 1 포어아암(130), 및 제 1 손목부 부재(132))은 제 1 상부 아암(124)의 회전이 제 1 포어아암(130)의 상응하는 회전을 야기하고, 그리고 제 1 손목부 부재(132)가 작용선(144A)을 따라 즉, 쇼울더 축(127)과 정렬된 반경방향 선을 따라 엔드 이펙터(134)가 순수하게 평행이동하게 하도록 운동학적으로 연결된 로봇을 지칭한다.
[0050] 도 5에 도시 한 바와 같은 스왑을 수행하도록 회전 이동을 겪을 때 엔드 이펙터(134)는 이러한 작용선(144A)으로부터 출발할 수 있다. 본 명세서에 기재된 바와 같이, 작용선(144A)로부터의 이러한 출발은 도 2E, 도 2G 및 도 6A-7B에 도시된 손목부 구성 위에 엔드 이펙터에 의해서 뿐만아니라, 기판(예, 105)의 중심선이 캐러셀(108)로부터 입구(108E)를 빠져 나가자 곧, 제 3 모터(275)를 이동시킴으로써 발생할 수 있다.
[0051] 다시 도 2A-2F를 참조하면, 로봇 장치(103)는 제 2 스카라 로봇(103B)상에 쇼울더 축(127)에 대해 회전가능한 제 2 상부 아암(136), 쇼울더 축(127)으로부터 이격된 상부 아암(136)의 반경방향 아웃보드 단부에서 제 2 상부 아암(136)에 커플링될 수 있는 제 2 포어아암(138)을 포함한다. 도시된 실시예에서, 제 2 포어아암(138)은 아웃 보드 위치에서 제 2 상부 아암(136)의 제 1 아웃보드 단부에 장착되며 그리고 제 2 회전 축(127B)에 대해 회전 가능하다. 제 2 포어아암(138)의 회전은 제 2 상부 아암(136)에 대해 약 +/-150도일 수 있다.
[0052] 또한, 제 2 손목부 부재 (140)는 제 2 포어아암 (138) 상의 제 1 외측 위치에 커플링될 수 있고 그리고 제 2 손목부 축을 중심으로 제 2 포어아암 (138)에 대해 회전가능하다. 제 2 손목부 축은 소정의 거리 만큼 제 2 회전축(127B)로부터 이격될 수 있다. 제 2 손목부 부재(140)는 이에 커플링되는 제 2 엔드 이펙터(142)를 가질 수 있다.
[0053] 제 2 엔드 이펙터(142)는 기판 프로세싱 시스템 (100) 내에서 프로세싱될 기판(106)을 나르도록 설정되고 구성된다. 제 2 포어아암 (138)에 대해 제 2 엔드 이펙터(142)의 회전은 약 +/-150도일 수 있다. 제 2 상부 아암(136), 제 2 포어아암(138), 제 2 손목부 부재(140) 및 제 2 엔드 이펙터(142) 그리고 해당 구동 모터들 및 구동 조립체(222)에 포함된 구동 샤프트는 제 2 스카라 로봇(103B)을 구성한다.
[0054] 제 2 상부 아암(136), 제 2 포어아암(138), 및 제 2 손목부 부재(140)의 회전은 제 1 상부 아암(136)의 회전이 제 2 포어아암(138)의 상응하는 회전을 야기하도록 구동 구성요소들(예를 들면, 도 2B 및 도 2D에 도시된 벨트들 및 풀리들)을 통해 운동학적으로 링크될 수 있고, 상기 제 2 포어아암(138)의 상응하는 회전은 상기 제 2 손목부 부재(140)의 상응하는 회전을 발생시킨다.
[0055] 제 1 및 제 2 스카라 로봇 (103A, 103B) 각각은 일 실시예에서, 이송 챔버(102)의 외부에 장착될 수 있는 구동 조립체(222)에 의해 구동될 수 있다. 이 실시예에서, 시계 방향으로 제 1 구동 모터(265)의 회전은 (도시된 바와 같은) 작용선 (144A)을 따라 쇼울더 축 (127)을 향해 엔드 이펙터 (134)를 후퇴시킨다. 시계 방향으로 제 2 드라이브 모터(270)의 회전은 작용선(144B)를 따라 엔드 이펙터 (142)를 후퇴시킨다. 연장은 반 시계 회전에 의해 달성될 수 있다. 도 1A에 도시된 바와 같이 캐러셀(108)과 로드 록 (112)사이의 스왑을 수행하기 위해 위치할 때 연장 및 후퇴는 실질적으로 공동인 평행 작용선들 (144A, 144B)을 따를 수 있다. 구동 구성요소들(도 2B 및 2D에 도시된 바와 같은 벨트들, 풀리들 및 상부 아암 및 포어아암 길이들)은 각각의 작용선들(144A, 144B)을 따라 선형 연장 및 후퇴 모션을 보장하기 위해 선택된다. 구동 조립체(222)의 제 1 및 제 2 구동 모터 (265, 270)는 구성요소들을 구동하도록 커플링될 수 있고 그리고 동시에 또는 순차적으로 엔드 이펙터들(134,142)을 평행이동시키도록 구성될 수 있다. 순차적인 동작에서, 로봇들(103A, 103B) 중 어느 하나가 다른 하나의 회전 이동 후 또는 전에 독립적으로 먼저 연장 또는 후퇴될 수 있다.
[0056] 적합한 종래의 회전 인코더들(도시 생략)은 목표된 대로 캐러셀(108, 110) 및 로드 록(112, 114)에 대해 스카라 로봇들(103A, 103B)를 위치시키는데 사용될 수 있다.
[0057] 또한, 일부 실시예들에서 도 2B에 도시된 바와 같이, 구동 조립체(222)는 Z 축 모션 능력을 포함할 수 있다. 특히, 구동 조립체(222)의 모터 하우징(267)은 모션 제한기(269)에 의해 외측 케이싱(268)에 대한 회전으로부터 억제될 수 있다. 모션 제한기(269)는 외측 케이싱(268)에 대하여 모터 하우징(267)의 회전을 제한하지만, 그러나 (쇼울더 회전축(127)의 방향을 따라) 모터 하우징(267)의 Z 축 운동을 허용하도록 기능하는 두 개 또는 그 이상의 선형 베어링들 또는 다른 베어링 또는 슬라이드 기구들일 수 있다.
[0058] 수직 모션은 적합한 수직 모터(271)에 의해 제공될 수 있다. 수직 모터(271)의 회전은 모터 하우징(267)에 커플링되거나, 통합된 수신기의 리드 스크류를 회전시키도록 작동할 수 있다. 이것은 모터 하우징(267)을 수직으로 평행이동시키며, 따라서, 엔드 이펙터들(134, 142)을, 그리고, 따라서, 기판들(105,106)을 평행이동시킨다. 적합한 가요성 시일(272)은 모터 하우징(267)과 베이스(128) 사이에서 밀봉할 수 있어서 이에 따라 수직 운동을 수용하며 그리고 이송 챔버 (102) 내에 진공을 유지시킨다. 금속 벨로우즈 또는 다른 가요성 시일은 상기 시일을 위해 사용될 수 있다.
[0059] 일 실시예에서, 제 1 및 제 2 스카라 로봇(130A, 103B)은 연장 및 후퇴되게 독립적으로 구동될 수 있다. 이러한 "독립적으로 구동되는" 실시예에서, 제 1 및 제 2 스카라 로봇들(103A, 103B) 각각은 서로 독립적으로 연장되고 후퇴될 수 있다. 따라서, 제 2 스카라 로봇(103B)이 연장될 때, 제 1 스카라 로봇(103A)이 후퇴될 수 있고, 또는 그 반대도 가능하다. 또한, 다른 모션 순서에서, 각각의 작용선들(144A, 144B)을 따라 제 1 및 제 2 스카라 로봇들(103A, 103B)은 함께 연장 또는 함께 후퇴될 수 있고, 또한 상이한 수량으로 수행될 수도 있다. 다른 실시예에서, 제 1 및 제 2 스카라 로봇 (103A, 103B)은 각각의 작용선들(144A, 144B)을 따라 동시에 그리고 동일한 수량으로 연장 및 후퇴될 수 있다.
[0060] 전술한 바와 같이, 다른 목표로 엔드 이펙터들(134,142)를 회전시키도록 착수된 일부 회전 이동에서, 엔드 이펙터들 (134, 142), 그리고 그위에 지지된 기판들(105,106)은 도 5에 도시된 바와 같은 선형 작용선들(144A)로부터 출발할 수 있다.
[0061] 특히, 도 5에 도시된 바와 같이, 로봇(103A, 103B)의 엔드 이펙터들(134, 142)은 일단 각 기판(105, 106)의 절반 이상이 이송 챔버(102) 내에서 놓인다면 비 직선 경로, 즉 아치형 경로(144C)를 후속할 수 있다. 따라서, 엔드 이펙터들(134, 142) 및 지지 기판들(105,106)은 챔버들(예를 들면, 대체로 대향된 챔버들)로부터 연장 및 후퇴할 때 작용선들(144A, 144B)을 따라 순수한 평행이동을 거칠 수 있고 그리고 이후 각각의 기판(105, 106)의 체적의 절반 이상이 이송 챔버(102)의 체적 내에 있을 때 아치형 경로(144C)를 후속할 수 있다. 아치형 경로(144C)는 접선 방향으로 커플링될 수 있는 3개의 원호 세그먼트들(예를 들어, 볼록, 오목, 및 볼록)을 포함할 수 있다. 아치형 경로(144C)의 세그먼트들은 실질적으로 일정한 속도로 수행될 수 있다. 제거될 마지막 기판의 절반이 각각의 입구(108E, 160)를 통과(clear)하자마자 이것은 회전 이동을 시작하는 것에 의해 야기되고, 그리고 도 2E에 도시된 바와 같이 손목부 부재(140) 위의 엔드 이펙터(134)의 구성으로 기판(105,106)을 제공한다.
[0062] 독립적으로 연장 및 후퇴하는 능력은 챔버(프로세스 챔버 또는 로드 록 챔버) 내로 위치될 때 기판들(105, 106)의 오정렬을 교정하기 위한 추가적인 기능을 제공한다. 캐러셀(108) 및 로드 록 (112)에 대해 관계를 설명하지만, 본 실시예는 임의의 두 개의 반경 방향 정렬 챔버들 중 하나 또는 모두에서 액세스 및/또는 오정렬 교정을 위해 사용될 수 있다.
[0063] 예를 들어, 여기에서 도 1A 및 도 4를 참조하면, 기판들(예, 기판들(105, 106))을 교환하는 방법이 제공된다. 방법(400)은 단계(402)에서, 제 1 및 제 2 스카라 로봇들(예, 스카라 로봇들(103A, 103B)) 및 제 1 및 제 2 반경방향 정렬 챔버들(예, 챔버들(146,148))을 구비한 로봇 장치(예, 로봇 장치(103))를 포함하는 이송 챔버(예, 이송 챔버(102))를 제공하는 단계를 포함한다. 챔버(146,148)는 이송 챔버(102)를 가로질러 반경 방향으로 즉, 그 대향 측면들 상에 정렬될 수 있다. 로봇 장치(103)는 반경 방향 정렬 챔버들(예를 들어, 챔버(148, 146)) 간의 하나 그 이상의 기판 교환(예, 스왑) 시퀀스를 수행하도록 제어기(125)로부터의 신호들을 통해 제어될 수 있다. 반경 방향 정렬 챔버들은 예를 들어 프로세스 챔버와 로드 록의 챔버일 수 있다.
[0064] 방법(400)에 있어서, 제 1 시퀀스로, 제 1 스카라 로봇(103A)은 캐러셀(108)의 제 1 반경 방향 정렬 챔버(146) 내로 연장할 수 있으며 그리고 제 2 스카라 로봇(103B)는 단계(404)에서 로드 록(112)의 제 2 반경방향 정렬 챔버(148)내로 연장되어 그리고 기판(105, 106)을 핍업할 수 있다. 연장은 동시에 또는 독립적 즉, 순차적(임의의 순서로)일 수 있다. 어떤 회전 이동도 아직 착수되지 않는다.
[0065] 단계(406)에서, 제 1 스카라 로봇(103A) 및 제 2 스카라 로봇(103B)은 동시에 후퇴 또는 순차적으로(임의의 순서로) 후퇴되고, 그리고 이후 회전할 수 있다. 기판(106)이 이제 캐러셀(108)의 챔버 (146)의 로드/언로드 스테이션 내로 반경방향으로 입구(108E)와 정렬되고 그리고 기판(105)이 이제 로드 록 (112)의 챔버 (148)로의 입구 (160)와 정렬되도록 회전 이동은 시계방향 또는 시계 반대 방향으로 180도로 방향 화살표(121)에 대한 회전을 포함할 수 있다. 회전(예를 들어, 로봇(103)의 회전 이동)은 제 3 모터(예를 들면, 모터(275))의 회전을 통해 달성될 수 있다. 회전 이동하는 동안, 엔드 이펙터들 (134, 142)은 섹션 (144C)을 따라 경로들(147A, 147B)을 후속할 수 있다.
[0066] 기판들(105, 106) 중 하나는 각각의 반경 방향 정렬 챔버들(146, 148)중 하나 내로 위치될 수 있고 그리고 단계(408)에서 오정렬 교정을 받을 수 있고, 다른 하나가 이송 챔버(102)에 위치되어 남아 있을 수 있다. 각각의 챔버(146, 148) 내에서 기판(105,106)의 오정렬을 결정하는 단계는 그들이 각 챔버(146,148)의 각각의 입구들(예를 들면, 108E, 160)로 들어올 경우 광학 위치 센서들에 의해 기판(105, 106)의 위치를 감지함으로써와 같이 임의의 알려진 오정렬 결정 방식을 통해서 일 수 있다. 일단 제어기(125)가 오정렬의 수량과 방향을 결정하면, 이후 오정렬은 적절한 위치 조정들을 함으로써 교정할 수 있다. 조정들은 (작용선(144A)을 따라 추가적인 연장 또는 후퇴에 의해) 반경 방향 및/또는 방향 화살표(121)의 방향으로 회전하여 측방향으로(예, 방향(144L)을 따라) 될 수 있다. 유사한 측방향 및 반경방향 오정렬 교정들은 로드 록(112)에서 만들어 질 수 있다.
[0067] 일 실시예에서, 로드 록(112) 내로 기판(105)의 배치 및 오정렬 교정(필요한 경우)이 먼저 일어날 수 있고, 제 1 스카라 로봇(103A)이 작용선(144B)을 따라 로드 록(112)으로부터 후퇴될 수 있고, 그리고 이후 필요에 따라 챔버(146)내로 엔드 이펙터(142)를 삽입하고 그리고 측방향(144L)으로 측방향 오정렬을 교정하도록 방향 화살표(121)에 대한 로봇 장치(103)의 약간의 회전, 및/또는 작용선(144A)을 따라 오정렬을 교정하도록 제 2 스카라 로봇(103B)의 약간의 연장 또는 후퇴를 야기함으로써 오정렬 교정은 캐러셀(108)의 챔버(146) 내에서 일어날 수 있다.
[0068] 다른 실시예에서, 오정렬은 유사한 오정렬 교정 시퀀스를 사용하여 로드 록(112)내로 배치할 때, 순차적으로 교정될 수 있다. 일부 실시예들에서, 오정렬은 캐러셀(108) 및 로드 록(112) 모두에서 교정될 수 있다. 캐러셀(108) 및 로드 록(112)내에서 오정렬 교정은 임의의 순서에서 발생할 수 있다. 유사한 교환들 및 오정렬 교정이 캐러셀(110)의 챔버(150)에서 및/또는 로드 록(114)의 챔버(152)에서 일어날 수 있다. 로드 록들(114, 및 112)은 구조 및 기능에서 실질적으로 동일할 수 있다. 마찬가지로, 캐러셀들(108 및 110)은 구조와 기능에서 실질적으로 동일할 수 있다. 다른 구성들이 사용될 수 있다.
[0069] 다른 실시예에서, 전자 디바이스 프로세싱 시스템(예를 들어, 100) 내에서 이송 기판들(예를 들어, (105, 106))의 방법(300)은 도 3에 제공된다. 방법(300)은 단계(302)에서, 이송 챔버(102), 제 1 패싯(102A), 제 1 패싯(102A)에 대향하는 제 2 패싯(102B), 제 3 패싯(102C), 그리고 제 3 패싯(102C)에 대향하는 제 4 패싯(102D)를 포함하는 메인프레임 하우징(101)를 제공하는 단계를 포함한다. 방법(300)은 단계(304)에서 제 1 패싯(예, 제 1 패싯(102A))에 커플링된 제 1 캐러셀 조립체(예, 캐러셀(108))를 제공하는 단계, 및 단계(306)에서, 제 2 패싯(예, 제 2 패싯(102B))에 커플링된 제 1 로드 록(예, 제 1 로드 록(112))을 제공하는 단계를 더 포함한다.
[0070] 방법(300)은 단계(308)에서, 제 1 캐러셀(예, 제 1 캐러셀 (108))로부터 기판들(예, 기판들 (105, 106))을 교환하기 위해 이송 챔버(예, 이송 챔버 (102))에서 동작하도록 구성된 로봇(예, 로봇(103))을 제공하는 단계, 그리고 단계(310)에서, 제 1 캐러셀(예, 제 1 캐러셀 (108))로부터 기판들(예, 기판들 (105, 106))을 교환하기 위해 이송 챔버(예, 이송 챔버 (102))에서 동작하도록 구성된 로봇(예, 로봇(103))을 제공하는 단계, 그리고 동시에 또는 순차적으로 상기 제 1 캐러셀(예, 제 1 캐러셀(108)) 내로 제 1 기판(예, 기판(105)) 및 상기 제 1 로드 록(예, 로드 록(112)) 내로 제 2 기판(예, 기판(106))을 위치시키는 단계 를 더 포함한다.
[0071] 다른 양태에서, 제 2 캐러셀(110)은 제 3 패싯(예, 제 3 패싯(102C))에 커플링되고 그리고 제 2 로드 록(114)(예, 제 2 로드 록(114))은 제 4 패싯(예, 제 4 패싯(102D))에 커플링되고, 그리고 로봇(103)은 제 2 캐러셀(110)내로 제 3 기판 그리고 제 2 로드 록(114)내로 제 4 기판을 동시에 또는 순차적으로 위치시키도록 작동가능하다. 예를 들어, 로봇(103)은 제 2 캐러셀(110)과 제 2 로드 록(114) 사이에서 기판들을 교환(예, 스왑)할 수 있다.
[0072] 하나 이상의 실시 예에서, 로봇(103)은 작용선(144A)을 따라 쇼울더 축(127)로부터 연장하는 제 1 방향으로 작동하는 제 1 스카라(103A) 및 쇼울더 축(127)으로부터 제 2 작용선(144B)을 따라 제 2 방향으로 연장하도록 작동하는 제 2 스카라(103B)를 포함하고(도 2A-2C), 상기 작용선(144B)을 따르는 제 2 방향은 작용선 (144A)을 따르는 제 1 방향과 반대이다.
[0073] 다른 실시예에서, 상기 제 1 스카라(103A)는 작용선(144A)을 따라 쇼울더 축(127)으로부터 제 1 방향으로 연장하도록 작동가능하고(도 2A), 그리고 제 2 스카라(103B)는 작용선(144B)를 따라 쇼울더 축(127)으로부터 제 2 방향으로 연장하도록 작동가능하나, 그러나 연장은 비-동시적 일 수 있고, 즉, 순차적일 수 있다. 회전 이동(오정렬 교정 제외)을 하지 않고 제 1 스카라 로봇(103A)을 먼저 연장하는 것 및 이후 제 2 스카라 로봇(103B)의 둘째로 연장하는 것, 또는 그 반대로 하는 것과 같이, 연장은 작용선들(144A, 144B)을 따라 임의의 순서로 될 수 있다.
[0074] 하나 이상의 실시 예에서, 도 1B에 도시된 바와 같이, 로드 록(112)은 배치 로드 록 장치(batch load lock apparatus)일 수 있고 그리고 여러 기판들(예, 기판들(106)- 몇몇이 표시됨)이 위치될 수 있는 여러 지지부들(149)(슬롯들 또는 선반들 등 - 몇몇이 표시됨)을 포함할 수 있다. 임의의 적절한 지지 구조가 사용될 수 있다. 지지부들(149)의 갯수는 캐러셀(108)에서의 프로세싱 위치들의 수와 같거나 클 수 있다. 예를 들어, 캐러셀(108)이 (도시된 바와 같이) 6개의 프로세싱 위치들을 가진다면, 로드 록(112)은 기판(106)을 수용하도록 6 개 또는 그 이상의 슬롯들을 가질 수 있어서, 전체 캐러셀 (108)이 한 번만 로드 록(112)를 개방하여 언로드 및 다시로드될 수 있다. 일부 실시예들에서, 하나 또는 그 이상의 여분의 슬롯들이 더미 웨이퍼, 교정 웨이퍼, 등과 같은 예비 기판(106D)을 수용하기 위해 제공될 수 있다. 상부 또는 하부상의 최종 단부 지지부 위치는 예비 기판(106D)에 대해 사용될 수 있다.
[0075] 도시된 실시예에서, 로드 록(112)은 지지부(149)를 포함하는 이동가능 리프트 본체(158)에 커플링된 구동 구성요소(157)를 구비한 리프트 모터(156)를 갖는 리프트 조립체(155)를 포함할 수 있다. 리프트 조립체(155)는 수직 방향(159)을 따라 상하로 이동가능한 리프트 본체(158)를 이동하도록 작동될 수 있다. 로드 록 챔버 (148) 내에서 리프팅 액션은 로드 록 입구(160)와 특정 기판(106)을 정렬하도록 작동될 수 있다. 로드 록 입구(160)는 일부 실시예에서 두 개의 상이한 레벨들에서 엔드 이펙터들(134, 142)을 가질 수 있는 로봇(103)을 수용하기 위해, 이중-폭 입구일 수 있다.
[0076] 선택적으로, 이중-폭 입구(160)는 두 개의 수직으로 적층된 단일 입구들을 포함할 수 있다. 단일 슬릿 밸브 도어는 이중-폭 입구(160)를 커버할 수 있다. 이중-폭 입구의 사용은 로드 록 (112)에서 수직 로봇 움직임을 제거한다. 로드 록(114)은 또한 이중-폭 입구를 포함할 수 있다. 마찬가지로, 로드 록(114)은 전술 한 바와 같이 배치(batch) 모드로 캐러셀(110)을 언로딩하는 것을 가능하게 하는 다중-위치 로드 록 구조를 포함할 수 있다.
[0077] 일부 실시예들에서, 다중-위치 로드 록들(112, 114)은 각각의 프로세스 챔버들에서(예, 캐러셀(108)에서) 발생하는 약 100°C 또는 그 미만의 프로세스 온도 내로 기판들(예, 기판(106))을 가열하도록 구성된 능동적인 가열을 포함할 수 있다. 예를 들어, 로봇(103)에 의해 캐러셀(108) 내로 로드되기 전에 일부 실시예들에서, 기판(106)은 300°C 또는 그 초과로 가열될 수 있고, 350°C 또는 그 초과로 또는 심지어 약 400°C 또는 초과로, 가열될 수 있다.
[0078] 도 6A 및 도 6B는 제 1 챔버(예, 프로세스 챔버(646 또는 650)와 제 2 챔버(예, 로드 록 챔버(648 또는 652)) 사이에서 기판들을 스왑하기 위해 회전 이동을 수행하도록 구성된 제 1 로봇(603)을 구비한 전자 디바이스 프로세싱 시스템(600)의 평면도를 도시한다. 도시된 바와 같이, 각각의 엔드 이펙터들은 다른 스카라 로봇의 손목부 부재에 적어도 부분적으로 또는 완전히 위에 놓이거나(위에 놓임) 또는 아래에 놓인다(아래에 놓임). 특히, 도시된 바와 같이, 로봇(603)은 완전 후퇴 위치에 있을 때, 메인프레임 하우징 내에서 (스왑이 가능하도록) 회전 이동을 수행하기 위해 각 엔드 이펙터들 및 손목부 부재들이 제 1 엔드 이펙터가 제 2 스카라 로봇의 손목부 부재와 적어도 부분적으로(또는 전체로) 수직으로 정렬되게 놓인 구성으로 위치될 수 있다. 마찬가지로, 제 2 엔드 이펙터는 제 1 스카라 로봇의 손목부 부재와 적어도 부분적으로(또는 전체로) 수직으로 정렬되게 놓일 수 있다. 이 블레이드-오버-손목부 부재 구성은 메인프레임 하우징 체적이 더 작게 만들어지게 할 수 있고 그리고 시스템 풋프린트 크기를 줄일 수 있다. 이것은 시스템 비용을 절감할 수 있고, 시스템 체적 및 펌프 다운 시간을 감소시킨다. 유사하게, 도시 한 바와 같은 다이아몬드 플랫폼 구성의 사용은 주프레임 및 챔버 액세스를 포함하는 서비스 기능을 향상시킨다.
[0079] 도 7A 및 도 7B는 패싯들(702C 및 702D)에 커플링된 제 2 챔버와 제 1 챔버 사이에서 기판을 스왑하기 위해 회전 이동을 수행하도록 구성된 로봇(703)을 갖는, 프로세스 챔버들 및 로드 록이 제거된, 전자 디바이스 프로세싱 시스템(700)의 정면도를 도시한다. 각각의 엔드 이펙터 길이들, 포어아암 길이들, 및 상부 아암 길이들은 도 6A, 도 6B 실시예에 걸쳐 확대되어 있어서, 도시된 바와 같이 엔드 이펙터들이 다른 스카라 로봇의 손목부 부재에 단지 부분적으로만 위에 놓이거나 아래에 놓인다. 슬릭 밸브 도어들(도시 생략)이 로봇(703)이 먼저 이동 로봇의 회전을 겪는 이러한 포인트에서 충분히 후퇴될 수 있으므로, 각각의 손목부 부재들을 만나는 포어아암들의 단부들은 완전히 후퇴된 상태로 표시될 때 영역에 발을 들여 놓는다(도시된 바와 같이, 각 패싯(702C, 702D)의 평면을 통과함). 이것은 간섭을 방지하고, 또한 이송 챔버를 더 크게 만드는 것 없이 로봇이 더 크게 만들어지게 한다. 이것은 시스템의 풋 프린트 크기를 추가로 감소시키고 엔드 이펙터 구성에 대한 이전 엔드 이펙터에 비해 비용 및 펌핑 다운 체적을 감소시킬 수 있다. 도 7A 및 도 7B의 실시예들에서, 프로세스 챔버들(개별적인 챔버들 또는 캐러셀들)은 패싯들(702B 및 702C)에 커플링될 수 있고 그리고 로드 록들은 패싯들(702A 및 702D)에 커플링될 수 있다. 로드 록들은 도 1B에 도시된 바와 같이 단일-위치 로드 록들 또는 다중-위치 로드 록들일 수 있다.
[0080] 도 8은 본 발명의 실시예들에 따른 3개의 전자 디바이스 프로세싱 시스템들(100A, 100B 및 100C)의 배열을 포함하는 제조 시스템(800)을 도시한다. 전자 디바이스 프로세싱 시스템들(100A, 100B, 100C)은 도 1A -1B를 참조하여 이전에 설명된 전자 디바이스 프로세싱 시스템(100)과 동일할 수 있다. 사이드 바이 사이드 시스템에서 서비스 액세스를 허용하도록 구성된 통로는 제거될 수 있다. 특히, 제조 시스템(800)의 인접 전자 디바이스 프로세싱 시스템들(100A-100C)의 각각의 캐러셀들은 서로에 직접 접촉할 수 있다. 이러한 다이아몬드 플랫폼 구성은 전체적으로 더 작은 제조 공간 요구 사항들을 가능하게 하고 그리고 시스템 서비스 기능을 향상시킬 수 있다. 또한, 캐러셀들(908A, 910A, 908B, 910B) 및 로드 록들(912A, 912B, 914A, 914B) 모두에 기능하도록 하나의 로봇(903A, 903B)의 공유된 사용(점선으로 도시)은, 각각, 낮은 프로세싱 속도에서 이기는 하지만 하나의 로드 록(912A, 912B, 914A, 914B)의 고장 또는 유지보수의 경우에 조차 연속적인 작동을 허용한다.
[0081] 도 9B는 전자 디바이스 프로세싱 시스템(900B)의 캐러셀들(908B, 910B)이 인접 캐러셀들(908B, 910B)들을 함께 측방향으로 더 가깝게 끌어오는 방법으로 메인프레임 하우징(901B) 상에 위치되어 구성될 수 있다는 것 그리고, 또한, 인접 로드 록들(912B, 914B)을 함께 측방향으로 가깝게 끌어올 수 있다는 것을 도시한다. 전자 디바이스 프로세싱 시스템(900A)과 비교하면, 이것은 전자 디바이스 프로세싱 시스템(900B)의 폭 풋 프린트를 또한 최소화한다. 특히, 도 9B에 도시 한 바와 같이, 이송 챔버의(902B)의 중심과 각 캐러셀(908B, 908B)의 회전 중심을 관통하는 것 사이에 제한되는 제 1 분리 각도 (965B)는 90도보다 작을 수 있다. 예를 들어, 일부 실시예들에서, 분리 각(965B)이 약 85도 내지 약 50도 또는 심지어 약 70도 내지 약 50도의 범위 일 수 있다.
[0082] 이송 챔버의(902B)의 중심과 각 로드 록(912B, 914B)의 위치 중심을 관통해서 인출된 것 사이에 제한되는 로드 록 분리 각도는 90도보다 작을 수 있다. 예를 들어, 일부 실시예들에서 로드 락 분리 각은 약 85도 내지 약 50도의 범위 또는 심지어 약 70도 내지 약 50도일 수 있다.
[0083] 이러한 경우에서, 도 9A에 도시된 실시예 뿐만 아니라, 각각의 캐러셀들(908A, 908B, 910A, 910B) 및 로드 록들(912A, 912B, 914A, 914B)은 메인프레임 하우징(901A, 901B)에 형성된 각각의 이송 챔버(902A, 902B)에 걸쳐 서로에 대향하도록 구성될 수 있다(그림 참조). 또한 상기 구성 요소들은 각 캐러셀(908A, 908B, 910A의, 910B)의 각각의 회전, 중심 이송 챔버(902A, 902B)의 중심 그리고 각각의 로드 록(912A, 912B, 914A, 914B)의 배치 중심을 관통하여 지나는 라인들을 따라 배열되어 놓일 수 있다. 분리 각(965A)이 약 90도이라는 점에서, 도 9A 실시예에 도시된 전자 디바이스 프로세싱 시스템(900A)은 스트레이트 다이아몬드 플랫폼으로 지칭될 수 있고, 반면 도 9B 실시예는 회전 다이아몬드 플랫폼으로 지칭될 수 있다.
[0084] 전술한 설명은 본 발명의 단지 예시적인 실시예들을 개시한다. 본 발명의 범위 내에 속하는 위에 개시된 장치, 시스템 및 방법의 변형예들은 당업자에게 꽤 명백할 것이다. 따라서, 본 발명이 예시 실시예들과 관련하여 공개되었지만, 후속하는 청구 범위에 의해 정의되는 바와 같이, 다른 실시예들이 본 발명의 범위 내에 있다는 것이 이해되어야 한다.

Claims (15)

  1. 이송 챔버, 제 1 패싯, 제 1 패싯에 대향하는 제 2 패싯, 제 3 패싯, 그리고 제 3 패싯에 대향하는 제 4 패싯을 포함하는 메인프레임 하우징;
    제 1 패싯에 커플링된 제 1 캐러셀 조립체;
    상기 제 3 패싯에 커플링된 제 2 캐러셀 조립체;
    상기 제 2 패싯에 커플링된 제 1 로드 록;
    상기 제 4 패싯에 커플링된 제 2 로드 록; 및
    제 1 캐러셀 및 제 2 캐러셀 모두로부터 기판을 교환하기 위해이송 챔버에서 동작하도록 구성된 로봇;을 포함하는
    전자 디바이스 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 로봇이 상기 제 1 캐러셀 내로 제 1 기판을 그리고 상기 제 1로드 록 내로 제 2 기판을 동시에 뽑거나 배치하도록 구성되는
    전자 디바이스 프로세싱 시스템.
  3. 제 1 항에 있어서,
    상기 로봇이 상기 제 1로드 록 내로 제 1 기판을 후퇴하고, 회전하고, 그리고 그후 동시에 배치하며 그리고 상기 제 1 캐러셀 내로 제 2 기판을 위치시키도록 설정되고 구성되는
    전자 디바이스 프로세싱 시스템.
  4. 제 1 항에 있어서,
    상기 로봇은 쇼울더 축으로부터 제 1 방향으로 연장하도록 작동가능한 제 1 스카라 및 상기 쇼울더 축에서 제 2 방향으로 연장하도록 작동가능한 제 2 스카라를 포함하고,
    상기 제 2 방향은 제 1 방향에 반대인,
    전자 디바이스 프로세싱 시스템.
  5. 제 1 항에 있어서,
    상기 로봇은 다축 로봇이고,
    상기 로봇이
    쇼울더 축을 중심으로 회전하도록 구성된 제 1 상부 아암;
    상기 제 1 상부 아암의 아웃보드 단부에서 상기 제 1 상부 아암에 회전하게 커플링된 제 1 포어아암;
    상기 제 1 포어아암의 제 1 외측 위치에서 상기 제 1 포어아암에 회전하게 커플링된 제 1 손목부 부재;
    상기 제 1 손목부 부재에 커플링된 제 1 엔드 이펙터;를 갖는 제 1 스카라,

    상기 쇼울더 축을 중심으로 회전하도록 구성된 제 2 상부 아암;
    상기 제 2 상부 아암의 아웃보드 단부에서 상기 제 2 상부 아암에 회전 가능하게 커플링된 제 2 포어아암;
    상기 제 2 포어아암의 제 2 외측 위치에서 상기 제 2 포어아암에 회전하게 커플링된 제 2 손목부 부재;
    상기 제 2 손목부 부재에 커플링된 제 2 엔드 이펙터;를 갖는 제 2 스카라를 포함하고

    상기 제 1 스카라의 제 1 엔드 이펙터가 상기 쇼울더 축으로부터 제 1 방향으로 연장하며
    그리고 상기 제 2 스카라가 상기 쇼울더 축로부터 제 2 방향 연장하고, 상기 제 2 방향은 제 1 방향에 반대인,
    전자 디바이스 프로세싱 시스템.
  6. 제 1 항에 있어서,
    상기 제 1 로드 록은 다중 위치 로드 록을 포함하는
    전자 디바이스 프로세싱 시스템.
  7. 제 6 항에 있어서,
    상기 제 1 로드 록은 다중 기판들을 지지하도록 설정되고 구성된 다중 지지 위치들을 제공하는 다중 지지부들을 갖는 이동가능한 몸체를 포함하는
    전자 디바이스 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 제 1 캐러셀의 복수의 스테이션들보다 더 크거나 같은 수의 지지 위치들을 포함하는,
    전자 디바이스 프로세싱 시스템.
  9. 제 6 항에 있어서,
    상기 다중 위치 로드 록에 대한 이중 폭 입구를 포함하는,
    전자 디바이스 프로세싱 시스템.
  10. 이송 챔버, 제 1 패싯, 제 1 패싯에 대향하는 제 2 패싯, 제 3 패싯, 그리고 제 3 패싯에 대향하는 제 4 패싯을 포함하는 주프레임 하우징을 제공하는 단계;
    상기 제 1 패싯에 커플링된 제 1 캐러셀 조립체를 제공하는 단계;
    상기 제 2 패싯에 커플링된 제 1 로드 록을 제공하는 단계;
    상기 제 1 캐러셀로부터 기판들을 교환하기 위해 상기 이송 챔버에서 작동하도록 구성된 로봇을 제공하는 단계; 및
    상기 제 1 캐러셀 내로 제 1 기판 그리고 상기 제 1로드 록 내로 제 2 기판을 동시에 또는 순차적으로 배치하는 단계;를 포함하는
    전자 디바이스 프로세싱 시스템 내에 기판들을 운송하는 방법.
  11. 제 10 항에 있어서,
    상기 제 3 패싯에 커플링된 제 2 캐러셀 조립체를 제공하는 단계;
    상기 제 4 패싯에 커플링된 제 2 로드 록을 제공하는 단계; 및
    상기 제 2 캐러셀 내로 제 3 기판 그리고 제 2로드 록 내로 제 4 기판을 동시에 또는 순차적으로 배치하는 단계;를 포함하는,
    전자 디바이스 프로세싱 시스템 내에 기판들을 운송하는 방법.
  12. 제 10 항에 있어서,
    상기 로봇은 제 1 스카라 로봇 및 제 2 스카라 로봇을 포함하고,
    그리고 상기 제 1 스카라 로봇 또는 제 2 스카라 로봇 중 적어도 하나는 오정렬 교정 시퀀스를 받는,
    전자 디바이스 프로세싱 시스템 내에 기판들을 운송하는 방법.
  13. 쇼울더 축을 중심으로 회전하도록 구성된 제 1 상부 아암;
    상기 제 1 상부 아암의 아웃보드 단부에서 상기 제 1 상부 아암에 회전하게 커플링된 제 1 포어아암;
    상기 제 1 포어아암의 제 1 외측 위치에서 상기 제 1 포어아암에 회전하게 커플링된 제 1 손목부 부재;
    상기 제 1 손목부 부재에 커플링된 제 1 엔드 이펙터;를 갖는 제 1 스카라,
    상기 쇼울더 축을 중심으로 회전하도록 구성된 제 2 상부 아암;

    상기 제 2 상부 아암의 아웃보드 단부에서 상기 제 2 상부 아암에 회전 가능하게 커플링된 제 2 포어아암;
    상기 제 2 포어아암의 제 2 외측 위치에서 상기 제 2 포어아암에 회전하게 커플링된 제 2 손목부 부재;
    상기 제 2 손목부 부재에 커플링된 제 2 엔드 이펙터;를 갖는 제 2 스카라를 포함하고

    상기 제 1 스카라의 제 1 엔드 이펙터가 상기 쇼울더 축으로부터 제 1 방향으로 연장하며
    그리고 상기 제 2 스카라가 상기 쇼울더 축로부터 제 2 방향 연장하고, 상기 제 2 방향은 제 1 방향에 반대인,
    다축 로봇.
  14. 제 13 항에 있어서,
    상기 다축 로봇의 회전 이동을 위해 지향될 경우
    상기 제 1 엔드 이펙터가 상기 제 2 손목부 부재 위에 놓이고,
    그리고 상기 제 2 엔드 이펙터가 상기 제 1 손목부 부재 아래에 놓이는,
    다축 로봇.
  15. 이송 챔버를 갖는 메인프레임 하우징;
    상기 주프레임 하우징의 제 1 패싯에 커플링된 프로세스 챔버;
    상기 제 1 패싯로부터 대체로 대향하는 위치에서 상기 이송 챔버의 다른 패싯에 커플링된 로드 록; 및
    제 1 엔드이펙터를 포함하는 제 1 스카라 로봇 및 제 2 엔드이펙터를 구비한 제 2 스카라 로봇을 포함하는 로봇을 포함하고,
    상기 엔드 이펙터들은 상기 프로세스 챔버와 상기 로드 록 사이에서 기판들을 교환하기 위해 상기 이송 챔버 내에서 이동하도록 구성되고,
    상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 실질적으로 공동 평행의 작용선들을 따라 연장하고 후퇴하도록 동작가능한,
    전자 디바이스 프로세싱 시스템.
KR1020157028049A 2013-03-15 2014-03-14 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법 KR102214394B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361787117P 2013-03-15 2013-03-15
US61/787,117 2013-03-15
US201361868795P 2013-08-22 2013-08-22
US61/868,795 2013-08-22
US201361879076P 2013-09-17 2013-09-17
US61/879,076 2013-09-17
PCT/US2014/027567 WO2014143662A1 (en) 2013-03-15 2014-03-14 Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217003363A Division KR20210014778A (ko) 2013-03-15 2014-03-14 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법

Publications (2)

Publication Number Publication Date
KR20150131117A true KR20150131117A (ko) 2015-11-24
KR102214394B1 KR102214394B1 (ko) 2021-02-08

Family

ID=51527659

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217003363A KR20210014778A (ko) 2013-03-15 2014-03-14 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
KR1020157028049A KR102214394B1 (ko) 2013-03-15 2014-03-14 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217003363A KR20210014778A (ko) 2013-03-15 2014-03-14 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법

Country Status (6)

Country Link
US (2) US10427303B2 (ko)
JP (1) JP6703937B2 (ko)
KR (2) KR20210014778A (ko)
CN (2) CN105164799B (ko)
TW (1) TWI614102B (ko)
WO (1) WO2014143662A1 (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8784033B2 (en) 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
KR102153608B1 (ko) * 2012-07-05 2020-09-08 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들에서 기판들을 운송하기 위한 붐 구동 장치, 멀티-아암 로봇 장치, 전자 디바이스 프로세싱 시스템들, 및 방법들
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
CN103192384B (zh) * 2013-03-11 2015-08-19 上海交通大学 一种集成旋转变压器的静态真空轴系装置
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
JP6029250B2 (ja) * 2013-03-28 2016-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
CN105580124B (zh) * 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
TWI641458B (zh) 2014-01-05 2018-11-21 美商應用材料股份有限公司 用於傳輸電子裝置製造中之基板之機器人設備、驅動組件,及方法
JP6705750B2 (ja) * 2014-01-28 2020-06-03 ブルックス オートメーション インコーポレイテッド 基板搬送装置
JP2017513036A (ja) * 2014-11-14 2017-05-25 マッパー・リソグラフィー・アイピー・ビー.ブイ. 貨物固定システムおよびリソグラフィシステム内で基板を移送するための方法
WO2016172003A1 (en) * 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US9799544B2 (en) 2015-10-23 2017-10-24 Applied Materials, Inc. Robot assemblies, substrate processing apparatus, and methods for transporting substrates in electronic device manufacturing
CN105702607B (zh) * 2016-03-17 2018-09-25 东方晶源微电子科技(北京)有限公司 机械臂和检查系统
TWI724971B (zh) 2016-06-28 2021-04-11 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之系統及方法
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
US11270904B2 (en) * 2016-07-12 2022-03-08 Brooks Automation Us, Llc Substrate processing apparatus
WO2018125752A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ald process uniformity
TWI813555B (zh) * 2017-02-07 2023-09-01 美商布魯克斯自動機械美國公司 基材運送方法及設備
JP6881010B2 (ja) * 2017-05-11 2021-06-02 東京エレクトロン株式会社 真空処理装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10453725B2 (en) 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10943805B2 (en) * 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
TWI680532B (zh) * 2018-07-04 2019-12-21 環球晶圓股份有限公司 製程設備及其晶圓承載盤
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7183635B2 (ja) * 2018-08-31 2022-12-06 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
CN112840447A (zh) * 2018-10-04 2021-05-25 应用材料公司 运输系统
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
CN110255156A (zh) * 2019-06-29 2019-09-20 苏州精濑光电有限公司 一种中转装置
KR102240925B1 (ko) * 2019-07-17 2021-04-15 세메스 주식회사 기판 처리 설비 및 기판 반송 장치
TWI797461B (zh) * 2019-07-26 2023-04-01 日商新川股份有限公司 封裝裝置
CN112582318A (zh) * 2019-09-30 2021-03-30 沈阳芯源微电子设备股份有限公司 涂胶显影设备
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11557496B2 (en) * 2020-03-23 2023-01-17 Applied Materials, Inc. Load lock with integrated features
CN113829377B (zh) * 2020-06-24 2023-08-18 拓荆科技股份有限公司 一种衬底的装载和拾取装置及衬底的装载和拾取方法
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
CN112249685B (zh) * 2020-10-27 2022-04-01 光驰科技(上海)有限公司 进行基板快速交互搬送的机构及其搬送方法
US20220199436A1 (en) * 2020-12-23 2022-06-23 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
WO2023129142A1 (en) * 2021-12-28 2023-07-06 Applied Materials, Inc. Electronic device manufacturing systems having paired deposition chambers for enhanced deposition uniformity
KR102431664B1 (ko) 2022-02-15 2022-08-12 (주) 티로보틱스 진공 챔버에서 기판을 이송하기 위한 기판 이송 로봇
KR102431679B1 (ko) 2022-02-15 2022-08-12 (주) 티로보틱스 진공 챔버에서 기판을 이송하기 위한 기판 이송 로봇

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004080053A (ja) * 2003-11-07 2004-03-11 Hitachi Kokusai Electric Inc 半導体製造装置
JP2004282002A (ja) * 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2005032942A (ja) * 2003-07-11 2005-02-03 Daihen Corp 2アーム式搬送ロボット
JP2011077399A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
JP2011222825A (ja) * 2010-04-12 2011-11-04 Tokyo Electron Ltd 被処理体処理装置
US20120232690A1 (en) * 2011-03-11 2012-09-13 Brooks Automation, Inc. Substrate processing apparatus
JP2013042112A (ja) * 2011-07-15 2013-02-28 Tokyo Electron Ltd 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US20040005211A1 (en) 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
US20020098072A1 (en) * 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
WO2005123565A2 (en) * 2004-06-09 2005-12-29 Brooks Automation, Inc. Dual sacra arm
WO2006088757A1 (en) 2005-02-12 2006-08-24 Applied Materials, Inc. Multi-axis vacuum motor assembly
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
US7927062B2 (en) * 2005-11-21 2011-04-19 Applied Materials, Inc. Methods and apparatus for transferring substrates during electronic device manufacturing
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
WO2008021216A2 (en) 2006-08-11 2008-02-21 Applied Materials, Inc. Methods and apparatus for a robot wrist assembly
WO2008150484A1 (en) 2007-05-31 2008-12-11 Applied Materials, Inc. Methods and apparatus for extending the reach of a dual scara robot linkage
KR100850436B1 (ko) * 2007-06-20 2008-08-05 주식회사 싸이맥스 반도체 제조설비
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
KR101287000B1 (ko) 2009-01-11 2013-07-23 어플라이드 머티어리얼스, 인코포레이티드 로봇 및 로봇의 전기 엔드 이펙터로 전기 연결을 하기 위한 시스템, 장치 및 방법
US8784033B2 (en) * 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
TWI394224B (zh) 2009-02-24 2013-04-21 Intevac Inc 載送及處理基板之裝置與方法
WO2011055822A1 (ja) * 2009-11-09 2011-05-12 東京エレクトロン株式会社 基板処理装置、基板搬送装置及び基板処理装置の制御方法
KR102060544B1 (ko) * 2010-11-10 2019-12-30 브룩스 오토메이션 인코퍼레이티드 이중 아암 로봇
JP2011139074A (ja) * 2011-01-07 2011-07-14 Applied Materials Inc 基板の破損及び移動中の基板のずれを動的に検出するセンサ
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
US9202733B2 (en) * 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
WO2013090181A1 (en) 2011-12-12 2013-06-20 Applied Materials, Inc Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
CN104271474B (zh) * 2011-12-16 2018-01-09 布鲁克斯自动化公司 输送设备
TW202203356A (zh) * 2012-02-10 2022-01-16 美商布魯克斯自動機械公司 基材處理設備
KR102153608B1 (ko) 2012-07-05 2020-09-08 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들에서 기판들을 운송하기 위한 붐 구동 장치, 멀티-아암 로봇 장치, 전자 디바이스 프로세싱 시스템들, 및 방법들
JP6336467B2 (ja) * 2012-11-30 2018-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 不等長の前腕部を備えた多軸ロボット装置、電子デバイス製造システム、及び、電子デバイス製造において基板を搬送するための方法
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
US9245783B2 (en) * 2013-05-24 2016-01-26 Novellus Systems, Inc. Vacuum robot with linear translation carriage
US10157763B2 (en) * 2013-08-29 2018-12-18 Varian Semiconductor Equipment Associates, Inc. High throughput substrate handling endstation and sequence

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004282002A (ja) * 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2005032942A (ja) * 2003-07-11 2005-02-03 Daihen Corp 2アーム式搬送ロボット
JP2004080053A (ja) * 2003-11-07 2004-03-11 Hitachi Kokusai Electric Inc 半導体製造装置
JP2011077399A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
JP2011222825A (ja) * 2010-04-12 2011-11-04 Tokyo Electron Ltd 被処理体処理装置
US20120232690A1 (en) * 2011-03-11 2012-09-13 Brooks Automation, Inc. Substrate processing apparatus
JP2013042112A (ja) * 2011-07-15 2013-02-28 Tokyo Electron Ltd 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体

Also Published As

Publication number Publication date
JP6703937B2 (ja) 2020-06-03
JP2016512398A (ja) 2016-04-25
CN111489987A (zh) 2020-08-04
TWI614102B (zh) 2018-02-11
US20190375105A1 (en) 2019-12-12
TW201438858A (zh) 2014-10-16
KR20210014778A (ko) 2021-02-09
CN105164799A (zh) 2015-12-16
WO2014143662A1 (en) 2014-09-18
US20140271055A1 (en) 2014-09-18
KR102214394B1 (ko) 2021-02-08
CN105164799B (zh) 2020-04-07
US10427303B2 (en) 2019-10-01

Similar Documents

Publication Publication Date Title
KR20150131117A (ko) 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
US20210023698A1 (en) Dual arm robot
US7959403B2 (en) Linear semiconductor processing facilities
US7458763B2 (en) Mid-entry load lock for semiconductor handling system
JP5139253B2 (ja) 真空処理装置及び真空搬送装置
TWI458612B (zh) 可作z軸運動並具多關節手臂之線性真空機械手
US20230271792A1 (en) Substrate processing apparatus
KR20210127965A (ko) 2-링크 아암을 가진 선형 로봇

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant