JP2005530343A - 基板加工装置及び関連するシステム及び方法 - Google Patents

基板加工装置及び関連するシステム及び方法 Download PDF

Info

Publication number
JP2005530343A
JP2005530343A JP2004514118A JP2004514118A JP2005530343A JP 2005530343 A JP2005530343 A JP 2005530343A JP 2004514118 A JP2004514118 A JP 2004514118A JP 2004514118 A JP2004514118 A JP 2004514118A JP 2005530343 A JP2005530343 A JP 2005530343A
Authority
JP
Japan
Prior art keywords
main chamber
interior
substrate
chamber
subchamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004514118A
Other languages
English (en)
Inventor
ディッキンソン,ジョン・シー
ジャンセン,フランク
マーフィ,ダイムヒン・ピー
Original Assignee
ビーオーシー・エドワーズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ビーオーシー・エドワーズ・インコーポレーテッド filed Critical ビーオーシー・エドワーズ・インコーポレーテッド
Publication of JP2005530343A publication Critical patent/JP2005530343A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】
【解決手段】マイクロエレクトロニック基板Sを加工する装置10及び方法は、主チャンバ20及び可動の境界部15を備えている。主チャンバは、主チャンバの内部25を包み込む主チャンバ壁27、29を備えている。可動の境界部が主チャンバの内部に配置され且つ、第一の位置(図4B)と第二の位置(図4A)の間にて可動である。第一の位置において、可動の境界部は、基板を加工することのできるサブチャンバ90を少なくとも部分的に画成する。サブチャンバは、主チャンバの内部から流体的に隔離され且つ、清浄化及び表面処理のような基板の高圧加工に適した環境を提供する。装置は、外部の基板の取り扱いモジュール210及び(又は)製造モジュール401に直結して主チャンバがサブチャンバと外部のモジュールとの間に障壁を提供するようにすることができる。可動の境界部の第二の位置において、基板は、提供された外部モジュールに及び該外部モジュールから搬送することにより装置内に装荷し又は装置から除去することができる。

Description

関連出願
本出願は、その開示内容の全体を参考として引用し本明細書に含めた、2002年6月13日付けで出願された、米国特許出願第10/170,578号の利益を主張するものである。
本発明は、全体として、例えば、マイクロ寸法デバイスの製造過程の一環として基板を加工することに関する。より具体的には、本発明は、雰囲気環境又は排気した環境から隔離することができるが、該環境と機能的に結合することもできる高圧の環境内にて基板を加工(例えば、剥ぎ取り、清浄化、乾燥、表面処理等)することに関する。
集積回路(ICs)、光電子、マイクロメカニカル、マイクロエレクトロメカニカル、及びマイクロ流体学デバイスのようなマイクロ寸法のデバイスは、製造ステップの正確な順序に従い且つ、厳密に制御された過程状態下にて形成されるマイクロ寸法及びサブマイクロ寸法の造作構造部を保持している。トランジスタ、抵抗器及びコンデンサのような能動的及び受動的電気回路要素を保持する、半導体ウェハのような基板がしばしば提供される。層を変化させ又は層を基板に追加するため、半導体及び薄膜蒸着技術が行われる。基板又はその一部に追加された層は、導電性面又は電極、導電性面間の絶縁性障壁、光伝導性導波路、マイクロメカニカル的構成要素を形成するために使用される構造層、又は、エッチング過程の効果を制御するエッチストッパの場合のように恒久的なものとすることができる。基板と構造層との間に形成されて、かかる構造層又はその一部分を基板から解放すべくその後に除去される中間犠牲層の場合、又は、エレクトロニック又はメカニカル的造作構造を形成するためのテンプレートとして基板上に形成されたフォトレジスト層の場合のようなその他の層又は層の一部分は、一時的なものとすることができる。上述した層の多くには、層を完全に除去するか、又は、(1)開口、バイアス、マイクロチャンバ、マイクロ流体用チャネル及びトレンチのような造作構造部、(2)接点、電気リード、光ウインド、及び偏向可能な膜のような二次元的構造体、(3)アクチュエータ及びカンチレバーのような、三次元的構造体を形成するためエッチング(これは、所望の方向に沿って等方性的に又は異方性的に行うことができる)のような除去過程が行われる。層又は層の一部分の除去は、化学機械的ポリシング又はその他の表面マイクロマシニング加工技術によって行うこともできる。例えば、シリコン又はガラス基板のような、製造過程の間に採用される原基板は、それ自体、バルクマイクロマシニング加工技術を行ってキャビティ又は開口を形成する。更に、フォトレジスト材料のような遷移層を一部分、現像により除去し及び全体を化学的剥ぎ取り又はプラズマアッシングにより除去することができる。
製造過程の間、各種型式の汚染物質又はその他の望ましくない材料を除去し又はその後に層を堆積するため表面を準備すべく1又はより多くの清浄化ステップが必要とされる。例えば、基板のようなバルク原材料の上面が最初に酸化されるであろう。酸化は、基板の表面をその後の堆積技術と適合しないものとし、この場合、追加の層を基板表面に堆積させる準備として酸化物を除去することが必要となろう。別の例において、金属層を半導体基板上に堆積するためには、その前に、基板のガス抜きのため脱着ステップが必要とされるであろう。更に、例えば、プラズマアッシング過程の後、フォトレジスト層を除去すると、残留物が残り、このため、かかる残留物を除去する清浄化ステップが必要となるであろう。更に、エッチングにより深いトレンチのようなミクロン寸法の造作構造体を形成する結果、残留物又はパーティクルを除去することが必要となろう。ポリシング及び平坦化過程は、残留汚染物の他の発生源である。各種の清浄化媒体が採用されている。特に最近、関心を集めているのは、処理チャンバのような包み込んだ環境内にて基板の表面を清浄にするため超臨界二酸化炭素(CO2)を使用することである。
製造過程中に必要とされるステップの多くは、望ましい過程条件(例えば、圧力、温度、電界強度、流量)を維持し得るように使用中、周囲環境から密閉的に密封されたチャンバ又はモジュール内で行われる。実施される特定の過程ステップに依存して、かかるチャンバ又はモジュールは、減圧状態(例えば、プラズマ増進成長法)、雰囲気又は略雰囲気圧力(例えば、雰囲気圧力及び低圧化学的気相成長法)に維持される。しかし、殆どの堆積過程は、減圧状態の制御された雰囲気にて行われる一方、従来の清浄化過程は、周囲又は略周囲圧力(例えば、0ないし137.895kPa(0ないし20ポンド/平方インチ)「ゲージ圧力」、psig)にて行われる。堆積及び清浄化過程に使用されるそれぞれの装置は別個のものであり、このため、従来、所定の基板を堆積チャンバから遠方に位置する清浄化装置まで搬送することが必要であった。従って、製造過程全体の流れは中断し、通常、前清浄化と堆積との間、又は堆積と後清浄化との間の間隔にて基板を雰囲気環境に晒す必要があった。
このため、清浄化過程に最適な状態下(例えば、高圧)にて基板を包み込んだ環境内で清浄にすることを可能にすると同時に、適合可能な仕方にて且つ、基板を周囲環境を通じて搬送することを必要とせずに、清浄化過程を製造過程(これは、異なる組みの最適な状態を必要とする)と一体化する方法及び装置を提供することが有益であろう。
広義において、本発明は、マイクロエレクトロニック基板等を加工する装置及び方法を備えている。全体として、第一のチャンバが第二チャンバ内に画成される。第一のチャンバは、第一の閉塞機構に接続され、第二のチャンバは、第二の閉塞機構に接続される。第一の閉塞機構は、第一のチャンバを開放するか又は閉じた状態の何れかにする設計とされている。第二の閉塞機構は、第二のチャンバを開放するか又は閉じた状態の何れかにする設計とされている。第一のチャンバと関係した第一の閉塞機構は、機械的に第二のチャンバと関係付けられる。第二のチャンバと関係した第二の閉塞機構が機械的に第二のチャンバと関係付けられる。
本発明の少なくとも1つの実施の形態において、第一の閉塞機構は、第二のチャンバに対し第一のチャンバを選択的に開放し且つ閉じるよう第二のチャンバ内で可動の境界部を備えている。第一のチャンバが閉じた状態にあるとき、この境界部は、その内部が第二のチャンバの内部から流体的に隔離された第一のチャンバの内部を構造的に画成する役割を有する。1つの特に好ましい実施の形態において、境界部は、基板の支持又は締付け構成要素(例えば、ウェハプラテン又はチャック)と関係している。このように、この後者の場合、境界部は装置と一体であり、これにより基板の加工と関係して任意の基板の取り扱い作業(例えば、基板の搬送)を行うことを容易にする基板の動作(例えば、持ち上げ)機構の一部としても機能する。第二のチャンバと関係した第二の閉塞機構は、第二のチャンバと第二のチャンバの外部環境との間に密封可能なインターフェースを提供することができる。一例として、第二の閉塞機構は、仕切弁又は同様の装置を備えている。
装置の設計は、第二のチャンバの内部容積が、第二のチャンバが開放しているか又は閉じられている何れかであり、第一のチャンバが開放しているか又は閉じられている何れかであるとき、適宜な気体(例えば、窒素)の制御された雰囲気を保持し且つ実質的に周囲圧力に維持することを許容する。装置の設計は、第二のチャンバが閉じられ、第一のチャンバが開放しているか又は閉じられている何れかのとき、第二のチャンバの内部容積が制御された気体の雰囲気を保持し且つ実質的に周囲圧力又は雰囲気以下の圧力に維持することを更に許容する。更に、装置の設計は、第一のチャンバが閉じたとき、適宜な加工媒体を保持し且つ周囲圧力(例えば、約34.4738MPag(約5000psig))迄の高い圧力に維持することを許容する一方、第二のチャンバは、雰囲気圧力又はその近く或いは、真空圧に維持される。
第一のチャンバは、1つ又はより多数の基板を受け入れ得る設計とされる。少なくとも1つの実施の形態において、基板は、第二の閉塞機構及び第一の閉塞機構を連続的に作動させることにより、第一のチャンバに搬送される。例えば、第二の閉塞機構が開放し、基板は、開放した第二の閉塞機構を通じて第一のチャンバ内に搬送され、基板は、第一のチャンバ内に装荷され、第一の閉塞機構は閉じられる。基板を支持し且つ動かす可動の境界部が提供される上述した実施の形態において、基板は、開放した第二の閉塞機構を通じて搬送され且つ、境界部上に装荷され、境界部は、第二のチャンバを通じて閉じた状態に動かされる。この閉じた状態において、基板は、第一のチャンバ内に封じ込められ且つ、第二のチャンバ内から流体的に分離されている。
第二のチャンバは、漏洩密の仕方にて第三のチャンバに機械的に接続し得るような設計とすることができる。例えば、第二の閉塞機構は、第二のチャンバと基板の搬送モジュールとの間のインターフェースとして機能することができる。第三のチャンバ(例えば、基板の搬送モジュール)は、周囲圧力又は雰囲気以下の圧力に維持される制御された雰囲気内にて基板を取り扱うロボットを保持することができる。この形態により、第二のチャンバは、高圧の第一のチャンバと周囲圧力又は雰囲気以下の圧力の第三のチャンバとの間の緩衡チャンバとして機能することができる。
本発明の1つの実施の形態によれば、マイクロエレクトロニック基板を加工する装置は、主チャンバと、マイクロエレクトロニックを保持するサブチャンバと、流体導管とを備えている。主チャンバは、主チャンバの内部を包み込む主チャンバ壁を備えている。サブチャンバは、主チャンバ内に配置されており、主チャンバの内部を包み込むサブチャンバ壁を備えている。サブチャンバは、境界部を備え、サブチャンバの内部が主チャンバから流体的に隔離し得るようにされている。流体導管が主チャンバに形成され且つ、サブチャンバの内部と連通している。
本発明の1つの面によれば、サブチャンバ壁の境界部は、サブチャンバの内面に対し可動の基板の支持面を備えている。これと代替的に、境界部は、基板の支持面に対し可動であるサブチャンバの内面を備えている。別の代替例として、境界部は、基板の支持面及び基板の内面の双方を備えており、該面は、互いに可動である。
本発明の別の実施の形態によれば、マイクロエレクトロニック基板を加工する装置は、主チャンバ及び可動の境界部を備えている。主チャンバは、主チャンバの内部を包み込む主チャンバ壁を備えている。可動の境界部は、主チャンバの内部に配置されて、また、第一の位置と第二の位置との間にて可動である。第一の位置において、可動の境界部は、主チャンバの内部から流体的に隔離された、サブチャンバを少なくとも部分的に画成する。
本発明の更に別の形態によれば、マイクロエレクトロニック基板を加工する装置は、主チャンバと、基板支持装置と、流体導管とを備えている。主チャンバは、主チャンバの内部を包み込む主チャンバ壁と、内面とを備えている。基板支持装置は、主チャンバの内部で開放位置と閉じた位置との間にて可動である。基板支持装置は、基板支持面を備えている。閉じた位置において、基板支持面及び主チャンバの内面は、主チャンバの内部から流体的に隔離されたサブチャンバを少なくとも部分的に画成する。流体導管は、サブチャンバと連通して主チャンバ壁を貫通して伸びている。この実施の形態の1つの面によれば、装置は、主チャンバ壁に機械的に関係付けられた補強装置を更に備えている。好ましくは、補強装置は、アクチュエータと、制止部材と、アクチュエータ及び制止部材を相互に連結する順応性の連結機構とを備えるものとする。以下により詳細に説明するように、補強装置は、サブチャンバにより包み込まれた密封環境を維持するのに有用である。
本発明の更に別の実施の形態によれば、マイクロエレクトロニック基板を加工する装置は、可動の基板支持構造体と、加工チャンバと、主チャンバと、アクチュエータとを備えている。可動の基板支持構造体は、基板支持面と、密封要素とを備えている。加工チャンバは、基板支持面及び密封要素により境が画成されている。主チャンバは、加工チャンバを取り巻き又は該加工チャンバに少なくとも隣接しており、主チャンバの内部を包み込む。主チャンバの内部は、主チャンバ及び加工チャンバの外部の環境から流体的に密封可能である。アクチュエータは、基板支持面に結合され、加工チャンバを開放した状態と閉じた状態との間にて制御する。閉じた状態のとき、密封要素は、加工チャンバと主チャンバとの間に流体的に隔離された境界部を提供し、また、開放した状態のとき、基板支持面は、主チャンバの内部に露呈される。
本発明の特定の実施の形態によれば、基板支持装置の少なくとも一部分は、高降状強さ材料にて製造される。好ましくは、高降状強さ材料は、SA−723スチールのような、約120メガパスカル(MPa)以上の降伏強さを特徴とするものとする。更に、これら及びその他の実施の形態において、主チャンバ壁の内面及び基板支持面は、ハステロイ(HASTELLOY)(登録商標名)C−22又はC−276(米国、アイオワ州、ココモのハイネス・インターナショナル・インコーポレーテッド(Haynes International,Inc.)から入手可能)、AL−6XN(登録商標名)(米国、ペンシルベニア州、ピッツバーグのアルゲーニ・ルドラム・コーポレーション(Allegheny Ludlum Corporation)から入手可能)、合金25−6Mo、ニッケルめっき、又はクラッディング、ポリテトラフルオロエチレン(PTFE)又はパーフルオロアルコキシ(PFA)のような耐食性材料にて製造され又は処理されている。
本発明の更なる実施の形態に従い、基板を加工する装置は、基板の加工モードと基板のアクセスモードとの間にて調節可能である。該装置は、主チャンバと、インターフェース構成要素と、境界部とを備えている。該主チャンバは、主チャンバの内部を包み込む主チャンバ壁を備えている。インターフェース構成要素は、主チャンバに取り付けられ且つ、開放した状態と閉じた状態との間にて作動可能である。開放した状態にあるとき、インターフェース構成要素は、主チャンバの外部の環境から主チャンバの内部にアクセスすることを許容する。閉じた状態にあるとき、インターフェース構成要素は、主チャンバを外部環境から密封する。境界部は、主チャンバ内で基板の加工モードに相応する第一の位置まで可動であり、また、これと代替的に、基板のアクセスモードに相応する第二の位置まで可動である。第一の位置にて、境界部は、主チャンバの内部から密封可能に分離され且つ、基板を封じ込め得るようにされた加圧可能なサブチャンバを少なくとも部分的に画成する。第二の位置にあるとき、境界部は、基板を開放した状態のインターフェース構成要素を通じて主チャンバ内に且つ、該主チャンバから搬送することを許容する。
以下に詳細に説明するように、本発明は、基板加工装置を基板の搬送及び製造目的のため採用されるその他のモジュールに結合することを可能にする。更に、本発明の実施の形態は、雰囲気又は真空圧作動モジュールの何れかに直結することができる。このため、本発明の追加的な実施の形態に従い、該装置は、主チャンバの外部環境を包み込む基板の取り扱いモジュールを更に備えている。この実施の形態において、インターフェース構成要素は、主チャンバ及び基板の取り扱いモジュールを相互に接続する。この実施の形態の1つの面において、基板の取り扱いモジュールは、基板の搬送チャンバと、内部に配置されたロボット式エンドエフェクタとを備えている。インターフェース構成要素は、インターフェース構成要素を通り、従って、基板の搬送チャンバへ及び搬送チャンバから及び主チャンバの内部を通ってエンドエフェクタが動くのに対応し得るようにされている。
本発明は、マイクロエレクトロニックを加工する方法も提供するものである。この方法に従って、主チャンバとサブチャンバとを備える装置が提供される。主チャンバは、主チャンバの内部を包み込む主チャンバ壁を備えている。サブチャンバは、サブチャンバの内部を包み込むサブチャンバ壁を備えており、また、主チャンバの内部に配置される。サブチャンバ壁は境界部を備えている。マイクロエレクトロニック基板はサブチャンバの内部に導入される。サブチャンバの内部は主チャンバの内部から流体的に隔離されている。加工媒体がサブチャンバの内部に導入される。加工媒体は、サブチャンバの内部を雰囲気圧力以上の圧力に加圧し且つ、基板と接触する。
このため、本発明の1つの目的は、流体的に隔離されたサブチャンバを大型のチャンバ内に形成し、大型のチャンバが低圧力、雰囲気又は真空状態に維持されている間、該サブチャンバを加工媒体にて加圧することができる方法及び装置を提供することである。
本発明の別の目的は、基板の清浄化及び製造中に利用されるそれぞれのツールを基板上に形成されたデバイスと一体化し、これにより清浄化及び製造手順を連続的な加工フローに組み合わせる方法及び装置を提供することである。
本発明の更に別の目的は、それぞれの清浄化及び製造装置を直結することを通じてかかる一体化を実現し、加工される基板が清浄化ステップと製造ステップとの間で周囲状態に露呈されることがないようにすることである。
上記の目的及びその他の目的の全体又は一部分は本発明により実現される。
本発明の目的の幾つかは上記に説明したが、以下に最も良く説明するように添付図面と共に検討したとき、その他の目的は、説明が進むのに伴い明らかになるであろう。
本開示の目的のため、「連通する」(例えば、第一の構成要素が第二の構成要素と「連通し」又は「連通状態にある」)という語は、本明細書にて2つ又はより多数の構成要素又は要素間の構造的、機能的、機械的、光学的又は流体学的関係を示すために使用される。従って、1つの構成要素が第二の構成要素と連通するということは、その間に追加的な構成要素が存在し且つ(又は)第一及び第二の構成要素と作用可能に関係し又は係合することの可能性を排除することを意図するものではない。
本明細書にて使用するように、「雰囲気圧力」「実質的に雰囲気圧力」及び「ほぼ雰囲気圧力」という語は、本発明により提供される装置及び(又は)システムの外部環境の周囲圧力に等しく、又は実質的に等しい圧力を意味するものと考えられる。このため、雰囲気圧力の正確な値は、例えば、装置又はシステムが位置する高さ位置に依存し又は装置及び(又は)システムが設置される施設内で維持された環境状態に依存して変更することが理解されよう。例えば、海面位置の雰囲気圧力は、全体として、101.353kPa絶対(14.7psi絶対)(psia)又は0kPa ゲージ(0psi)(psig)であると考えられる一方、より高い位置において、雰囲気圧力の値は、多少、より小さい値となるであろう。更に、本明細書にて使用するように、「雰囲気圧力」という語は、適宜なパージング流体(例えば、N2)のような流体が以下に説明するように閉じた容積内を循環する場合に生じるであろう低い静圧力(例えば、0ないし137.895kPag(約0ないし20psig)の値)をも包含するものと見なされる。
便宜上、「マイクロエレクトロニック基板」という語は、本明細書にて全体として、従来の集積回路(IC)製造技術及びマイクロマシニングの分野にて、かかる技術の近代的な適応例に従って加工された多岐に亙るマイクロ寸法の加工物を包含するために使用される。単に一例として、但し、本発明の範囲を制限せずに、「マイクロエレクトロニック基板」は、単一の基板、陽極又は接着剤接合等によって互いに接合された基板(例えば、導電性層、誘電性層、半導性層、犠牲層、エピタキシャル層、ラチスマッチング層、接着剤又は構造層)の組合わせ体、堆積又はその他の方法で形成された1つ又はより多数の層又はフィルムと組み合わせた基部基板及び(又は)添加技術(例えば、膜蒸着、熱酸化、核形成、電気めっき、スピンオン被覆)により形成された1つ又はより多数のマイクロ寸法構造体又はマスキング造作構造部との組合わせ体及び(又は)除去技術(例えば、ウェットエッチング、ドライエッチング、深反応性イオンエッチングすなわちDRIE、イオン衝突、ポリシング、平坦化、穿孔)及び(又は)ドーピングのような代替的な植込み技術を含む。
「マイクロエレクトロニック基板」は、バルクシリコンのような前駆体又はソース材料又はシリコンブールからスライスしたウェハ又はウェハから製造したダイとすることができる。「マイクロエレクトロニック基板」は、何らかの中間段階にて進行中の加工物又は完成し或いはほぼ完成したデバイスを構成することができる。「マイクロエレクトロニック基板」は、論理回路又はデータ記憶デバイスを有するICチップのようなデバイス又はインスツルメント、データの処理と単一基板上における感知及び伝送といったようなシステム機能とを組み合わせる「システムオンアチップ」、キャビラリースケールの液体の流れを取り扱い又は生物学的サンプルアレイをスタンプリングするマイクロ流体学チップ又は「ラボオンアチップ」、発光ダイオード(LED)又はレーザダイオード(LD)、マイクロエレクトロメカニカルシステム(MEMS)デバイス(例えば、リレースイッチ、ジャイロスコープ、加速度計、容量性圧力センサ、マイクロポンプ、インクジェットノズル)、マイクロオプトエレクトロメカニックシステム(MOEMS)デバイス(例えば、導波路、可変光減衰器すなわちVOA、光学シャッター)オプトエレクトロニックデバイス、フォトニックデバイス、フラットパネルディスプレイ又は半導体系バイオセンサ或いは化学センサ)とすることができる。
「マイクロエレクトロニック基板」の材料組成物は、任意の仕方にて制限することを意図するものではない。非限定的な例は、半導体、金属及び誘電体を含む。これらの色々な属に含まれる更なる例は、シリコン、シリコン含有コンパウンド(例えば、酸化物、炭化物、窒化物及びケイ素の酸窒化物)グループIII−Vコンパウンド(例えば、GaN、AIN、InGaN)、シリコンオン絶縁体(SOI’s)、サファイア、フォトレジスト組成物のようなポリマー、ガラス、石英、種々の酸化物及びその他のものを含む。「マイクロエレクトロニック基板」又はその任意の成分の結晶学は、主として単結晶、多結晶又は非結晶とすることができる。
本明細書にて使用するように、「稠密なCO2」、「稠密な二酸化炭素」「稠密化したCO2」及び「稠密化した二酸化炭素」という語は、互換可能に使用し、1大気圧及び20℃にて二酸化炭素気体の密度よりも大きい密度(g/ml)を有する二酸化炭素を意味するものとする。この語は、また、約21℃にて全体として約5.516MPa(約800psi)を上廻る圧力に置かれたとき、標準温度又は室温及び圧力(STP)にて気体である二酸化炭素を意味する。
全体として、稠密化した二酸化炭素は、その密度を向上させ得るように雰囲気圧力以上の圧力又は低温度に置かれた二酸化炭素である。例えば、消火剤又は髭剃りクリームのような泡製品を供給するため加圧したキャニスタ内で使用される二酸化炭素と対照的に、稠密化した二酸化炭素は、例えば、約5.516MPa(約800psi)以上のような遥かに高圧力に維持されることが好ましい。温度又は圧力単独ではなく、密度は二酸化炭素の溶剤様性質を向上させるのに遥かに有意義であることが判明している。その内容を参考として引用し本明細書に含めた、ブログル(Brogle)(1982)Chem、Ind−ロンドン37:385−390を参照。
本明細書にて使用するように、「超臨界」及び「超臨界相」という語は、二酸化炭素のような物質が臨界温度(例えば、二酸化炭素の場合、31℃)及び圧力(例えば、二酸化炭素の場合、71大気圧)を上廻り、その時点にて、材料は、更なる圧力を加えるにも拘らず、液相に凝縮し得ない状態を意味する。
本明細書にて使用するように、「液体二酸化炭素」及び「液体CO2」という語は、液体形態の二酸化炭素を意味するために互換可能に使用される。二酸化炭素は約216.8K(三重点に相応)と約304.2K(臨界点に相応)との間の温度範囲にて少なくとも約511kPa(約5.11バール)(三重点に相応)の圧力に曝されたとき、液体の形態をとる。液体二酸化炭素は、約0.7ないし1.2g/mlの密度及び約0.07mN/m2の粘度を有する。液体二酸化炭素は、液体二酸化炭素の場合、約5ダイン/cmである、その表面張力に基づいて二酸化炭素のその他の相から識別することができる。
本明細書にて使用するように、「超臨界流体二酸化炭素」という語は、31℃の臨界温度又はそれ以上で且つ、71大気圧の臨界圧力又はそれ以上であり、更なる圧力を加えるにも拘らず、液体相に凝縮し得ない二酸化炭素を意味する。
好ましくは、液体又は超臨界流体二酸化炭素である稠密化した二酸化炭素は、本発明の方法及び装置にて採用することができる。稠密化した性質を有するその他の分子は、単独にて又は混合体にて採用することも可能であることが分かる。これらの分子は、非限定的に、メタン、エタン、プロパン、アンモニア、ブタン、n−ペンタン、n−ヘキサン、シクロヘキサン、n−ヘプタン、エチレン、プロピレン、メタノール、エタノール、イソプロパノール、ベンゼン、トルエン、p−キシレン、二酸化硫黄、クロロトリフルオロメタン、トリクロロフルオロメタン、パーフルオロプロパン、クロロジフルオロメタン、六フッ化硫黄、オゾン及び亜酸化窒素を含む。
本明細書にて使用するように、「流体」という語は、専ら、固体でない物質の任意の相を意味するものと解釈する。固体は静止変形により、せん断応力の付与に抵抗することができるが、流体はそのように抵抗することはできない。流体がせん断応力を受ける限り、流体は、動き及び(又は)変形することにより応答する。このように「流体」という語は、単に一例として、液体、蒸気及び気体のような流動可能な媒体を包含する。更に、「流体」という語は、超臨界流体を包含する。更に「流体」という語は、流体の流れ中で運ばれる粒子の場合のように、固体微粒子物質を含む液体、蒸気、気体及び超臨界流体混合体を包含する。
本明細書にて使用するように「加工媒体」という語は、全体的に、基板にてある方法を実行する目的のため基板に接触するのに適した任意の流体を意味するもの解釈する。
本明細書にて使用するように、「高圧力」という語は、全体として、標準的な雰囲気圧力(0kPag(0psig))を上廻る公称静圧力ないし約34.474MPag(約5000psig)の範囲の圧力を包含する。
本明細書にて使用するように、「真空」という語は、全体として、約1.33322-7kPa(約10-7トル)ないし雰囲気圧力の範囲の圧力を包含する。
本明細書にて使用するように、「加工する」という語は、基板上にて行われる任意の方法を意味するものと解釈し、製造過程(例えば、層の付与、層の除去、層の一部分又は基板の部分のリソグラフィ、金属被覆、堆積、基板又は層を不純物にて意図的にドーピングすること)、処理過程(例えば、焼鈍、焼結、加熱、被覆、めっき、応力又は歪み除去)、剥ぎ取り過程(例えば、フォトレジストの除去)、清浄化過程(例えば、後エッチング残留物、反射防止被覆又はその他の残留物、マイクロエレクトロニック構成要素の製造時に使用した一時的材料の除去)及び乾燥過程(例えば、粘着性表面流体の除去)を含む。
本明細書にて使用するように、「高強度材料」という語は、約120MPa又はそれ以上の降伏強さを有する任意の材料を意味するものとし、その非限定的な一例は、SA−723スチールである。
本明細書にて使用するように、「耐食性材料」という語は、清浄化流体のような加工媒体と接触することに起因する望ましくない反応に対する抵抗性のある任意の材料を意味するものとする。「耐食性材料」は、固体本体(例えば、ステンレススチールC−22及びC−276のようなハステロイ(HASTELLOY)(登録商標名)等級合金、AL−6XN(登録商標名)及び合金25−6Mのような超オーステナイトステンレス鋼、デュプレックスステンレス鋼、モネル(MONEL)(登録商標名)合金(米国、ウェストバージニア州、ハンティントンのインコ・アロイズ・インターナショナル・インコーポレーテッド(Inco Alloys International,Inc.)から入手可能)、少なくとも約8重量%のニッケル又は約少なくとも約10重量%のクロムを備え、又はバッファ被覆又は処理が施された鉄系金属材料を構成することができる。適宜な施されたバッファ材料の非限定的な例は、ポリテトラフルオロエチレン(PTFE)、ポリエーテルエーテルケトン(PEEK)、パーフルオロアルコキシ(PFA)、ポリモノクロロトリフルオロエチレン(PCTFE)、ポリフッ化ビニリデン(PVDF)、フッ化半結晶状ポリマー、薄いフィルム/めっき/ニッケル又はクロム又はニッケル−クロム合金とのクラッド物を含む。
次に、全体を通じて同様の部品を同様の参照番号で示す図、特に、図1A、図1B及び図2Aを参照すると、全体として参照番号10で示した、本発明による基板加工装置が概略図的に図示されている。基板加工装置10は、2つの別個の作動状態、すなわち、基板の装荷/除去状態又は基板の高圧な加工状態の何れかにて作動可能であり且つ、これら2つの状態の間にて調節可能であることが好ましい。図1Aには、基板の装荷/除去状態における基板加工装置10が示されている。図1Bには、基板の加工状態における基板加工装置10が示されている。
図1A及び図1Bを続けて参照すると、基板加工装置10は、広く、全体として参照番号20で示した主チャンバと、主チャンバ20内で可動である境界部15とを備えている。1つの好ましい実施の形態において、可動の境界部15は、全体として参照番号40で示した可動の基板支持装置に接続された基板支持面によって提供される。主チャンバ20は、全体として参照番号25で示した主チャンバの内部を画成する主チャンバ構造体23を備えている。基板支持装置40は、ウェハのような基板Sを支持し得るようにされている。ウェハの形態にて提供された基板Sは、典型的に、直径200mm又は300mmである。しかし、本発明は、かかる標準寸法のものを取り扱うことに限定されず、直径約50ないし450mmの範囲に亙る基板を保持することができる。基板Sは、その上に形成されたフィルム、層又はマイクロ寸法の造作構造部を有し又は有さずに上記に広義に規定したマイクロエレクトロニック基板を構成することができる。図示した好ましい実施の形態において、基板支持装置40は、下降位置(図1Aに図示した基板の装荷/除去状態に相応する位置)と上昇位置(図1Bに図示した高圧の基板の加工状態に相応する位置)との間にて主チャンバの内部25内で垂直に動く。従って、基板支持装置40の好ましい動きは、矢印Aで示すように、全体として主チャンバの内部25の中央長手方向軸線Lに沿って又は該中央長手方向軸線Lに対し平行に行われる。
図1A及び図1Bを続けて参照すると、基板加工装置10は、全体として参照番号60で示した下側チャンバを備えることも好ましい。基板支持装置40の少なくとも一部分は、下側チャンバ60内に封込まれている。下側チャンバ60は、全体として参照番号65で示した下側チャンバの内部を画成する下側チャンバ構造体63を備えている。1つの好ましい実施の形態において、下側チャンバの内部65は、長手方向軸線Lに対し横方向に向き決めされた板73のような仕切り手段により主チャンバの内部25が物理的に且つ流体的に分離されている。ベローズ74のような適宜な密封構成要素(図3Bないし図4D参照)は、下側チャンバの内部65を主チャンバの内部25から隔離することを保証する。このように、汚染材料が主チャンバの内部25内に移行するのを防止し得るように下側チャンバ60が提供されることが好ましい。かかる汚染材料は、以下に説明するように、下側チャンバ60内を動く構成要素の作動の結果として生じる可能性がある。図3Bないし図4Dに最も良く示すように、ベローズ74も主チャンバ20を基板加工装置10の外部環境から隔離する。
図1A及び図1Bを続けて参照すると、基板加工装置10は、真空仕切弁スリット及び付随的な弁装置のようなインターフェース構成要素75を更に備えており、該インターフェース構成要素75は、主チャンバの内部25と基板加工装置10の外部環境との間に密封したインターフェースを提供する。インターフェース構成要素75として具体化するのに適した弁装置は、スイス、ハーグのCH−9469のヴァットバキュームベンタイルAG(VAT Vakuumventile AG)から入手可能である。インターフェース構成要素75は開放してロボット式構成要素(図1A及び図1Bに図示せず)のような基板の取り付け装置を導入することができる。インターフェース構成要素75がその開放状態にあるとき、基板の取り扱い装置は、主チャンバの内部25に達して基板Sを基板支持装置40に装荷し、また、基板Sが加工された後、基板Sを主チャンバの内部25から除去することができる。かかる基板支持装置がインターフェース構成要素75を通り且つ、主チャンバの内部25に対し出入りする動きは矢印Bで表示されている。
上述した外部環境は周囲環境とすることができる。しかし、より好ましくは、インターフェース構成要素75は、基板加工装置10と別の基板の取り扱い及び(又は)加工モジュールとの間の密閉的、直接的インターフェースとして機能するようにする。本発明によれば、基板加工装置10は、内部の雰囲気圧力又は真空圧の何れかにて作動するモジュールに結合することができる。このように、例えば、インターフェース構成要素75は、主チャンバの内部25と、クラスター工具の中央搬送チャンバの排気した内部又は装置の前端モジュール(EFEM)の一部を構成する雰囲気ロボットとの間に流体的連通状態を提供することができる。主チャンバの内部25を雰囲気又は真空圧環境の何れかと直結するのに対応するため、基板加工装置10は、適宜な真空源及び(又は)換気源79に対し主チャンバの内部25を接続する排出管77を備えている。従って、主チャンバ20を別の排気した環境に流体的に結合する準備として、主チャンバ20は、インターフェース構成要素75を開放する前に排出することができる。これと代替的に、主チャンバ20は、主チャンバ20から汚染物質をパージし又は基板Sの汚染を防止することが望まれるとき、主チャンバ20を雰囲気圧力又は静計器圧力に維持することもできる。後者の目的のため、基板加工装置10は、窒素のような不活性気体の流れを不活性気体の供給源83から主チャンバ内に分配する導管81を有することもできる。この場合、不活性気体は、主チャンバの内部25から真空源又は換気装置79に接続された排気管77を通じて送ることができる。また、基板加工装置10が雰囲気モジュールに結合される場合、以下に説明する高圧の清浄化過程の間、漏洩が生じるならば、インターフェース構成要素75は、雰囲気モジュールに対する二次的シールとして作用することができる。
図1Bには、基板の高圧の加工状態における基板加工装置10が示されている。以下により詳細に説明するように、基板加工装置10は、主チャンバ20内に配置された、全体として参照番号90で示したサブチャンバを形成するような設計とされている。サブチャンバ90は、主チャンバ20により維持された制御した高純度の小環境から流体的に隔離された状態を保つと同時に、高圧(例えば、約34.4738MPa(約5000psi)ケージ圧力のような高圧)に耐えることができる。サブチャンバ90は、サブチャンバ上面90A、1つ又はより多くのサブチャンバ側面90B、及びサブチャンバ下面90Cによって取り巻かれている。基板Sが円形又は実質的に円形のウェハである場合、サブチャンバ90の内部を画成する円筒状の容積をさらうため、連続的な単一のサブチャンバ側面90Bが提供される。この内部の好ましい円筒状の輪郭形状は、基板Sの円形の形状に近似し、また、内部の内径は基板Sの直径よりも僅かに大きい。この形態は、サブチャンバSに要求される容積を最小にし、基板Sの表面への加工媒体(例えば、清浄化流体)の均一な分配を促進し、これにより所望の加工段階の効率及び効果を向上させるものである。
特に図1Bを続けて参照すると、基板加工装置10をその基板の装荷/除去状態と基板の加工状態との間にて調節可能に作動し得るようにすべくサブチャンバ90を包み込む表面の少なくとも1つ(例えば、図1Aに関して上述した可動の境界部15)又はかかる面の少なくとも一部分は、サブチャンバ90を包み込むその他の面の1つ又はより多くと密封係合するよう可動である。このように、図示した好ましい実施の形態において、サブチャンバ上面90A及びサブチャンバ側面90Bは固定され、また、サブチャンバ下面90Cは、サブチャンバ側面90Bと係合するように可動である。好ましい実施の形態において、サブチャンバ下面90Cは、基板支持装置40と関係付けられており、また、基板支持面と、基板支持面がその上に取り付けられる任意の基部構成要素(例えば、図3Bないし図4Dに示した可動のチャンバ基部45を参照)とを備えている。このように、サブチャンバ90は、基板支持装置40、従って基板自体Sを基板Sがサブチャンバ90内に密封状態に封じ込まれる上昇した位置まで持ち上げることにより、確立されることが好ましい。
基板加工装置10は、サブチャンバ下面90Cが可動の境界部15を構成し、可動の境界部15が機械的に基板支持装置40と関係付けられる、図1A及び図1Bに示した実施の形態に限定されるものではない。本発明により提供される代替的な構成は、図2Aないし図2Dに簡単な図面に非限定的な例として示してある。これらの代替的な構成において、可動の境界部15は、基板支持装置40と独立的であり、このため、基板支持装置40は基板の持ち上げ機構として機能する必要はない。図2Aにおいて、サブチャンバ90のサブチャンバ側面90B及びサブチャンバ下面90Cは静止しており、また、可動の境界部15は、下方に作動されてサブチャンバ側面90Bと密封係合しサブチャンバ90のサブチャンバ上面90Aを提供する。図2Bにおいて、可動の境界部15は、長手方向軸線Lに対し平行な方向に沿って作動され、サブチャンバ側面90Bの開口部を閉じるドア又はゲートである。図2Cにおいて、可動の境界部15は、長手方向軸線Lに対し全体として接線方向に且つ、基板Sが休止する面(すなわち、図2Cを示す図面の面)と平行に作動されるドア又はゲートである。図2Dにおいて、可動の境界部15は、長手方向軸線Lに対し曲線状経路に沿って回転するよう作動される。
本発明により可能とされる特に有利な基板の過程は、基板の高圧の清浄化過程である。基板の高圧の清浄化過程は、全体として、基板S又はその少なくとも表面を、超臨界CO2、液体CO2又は上記に単に一例として掲げたその他の化学作用物質のような稠密化した流体であることが好ましい加工媒体へ露呈させることを含む。この目的のため、また、図1Bを再度参照すると、基板加工装置10は、加工媒体を加工媒体の供給源103から送り込み得るようサブチャンバ90内に導かれた加工媒体供給管101を提供する。流体及び流体によって運ばれた全ての汚染粒子を基板加工装置10から加工媒体戻し回路107に送り込むため、加工媒体の戻し管105も提供される。好ましくは、加工媒体の戻し管105は、基板支持装置40の本体を通って伸びるものとする。
サブチャンバ90が加工媒体にて高圧まで充填されたならば、サブチャンバ90と主チャンバ20との間に顕著な圧力差が生じる。基板支持装置40をその上昇位置まで動かすために採用される動力作動アクチュエータ(図1A及び図1Bに図示しないが、以下に説明する)を使用してサブチャンバ90の高圧の内部によって付与された力に抗してサブチャンバ90が閉じた状態を維持するのを助けることができる。更に、基板支持装置40の上昇位置は、基板支持装置40の下端109と下側チャンバ60の下端109との間の増大した隙間と関係付けることが好ましい。より好ましくは、また、以下に詳細に説明するように、1つ又は複数の制止部材143をこの増大した隙間に挿入し、基板支持装置40をその上昇位置に維持するのを助け、これにより、サブチャンバ90により画成された密封した高圧の環境を維持し且つ、基板支持装置40により支承された軸方向荷重及び(又は)基板支持装置40を駆動する機構によって支承された荷重を除去するため、補強機構140(図4Aないし図5参照)が提供される。制止部材143が制止位置まで半径方向に内方に動き且つ、不作動位置まで半径方向外方へ動く状態は矢印Cで表示されている。
次に、図3A及び図3Bを参照すると、基板加工装置10の1つの好ましい構造的形態が示されている。図3Bに最も良く示すように、基板加工装置10は、サブチャンバ90が主チャンバ20内にある基板の加工状態にて作動可能であるような位置に配置される。好ましくは、サブチャンバ90は、約10mlないし約10Lの範囲の容積と、約50mmないし約450mmの範囲の直径とを有するものとする。基板加工装置10の主チャンバ構造体32は、主チャンバの蓋として機能する上側部分27と、側方部分すなわち主チャンバの壁29と、中間板73とを備えており、これらは全て協働して主チャンバの内部25を包み込む。主チャンバ20の側方部分29は、主チャンバの内部25を少なくとも部分的に画成する内側面29Aを有することが好ましい。図示するように、内側面29Aは、主チャンバの内部25の中央長手方向軸線の周りで円筒状である。この円筒状の輪郭外形は、主チャンバの内部25を通る気体の流れを向上させ、また、鋭利な構造的造作構造部の数を最小にすることにより、汚染材料が主チャンバ20内に蓄積するのを防止する。側方部分29に形成された開口29Bは、インターフェース構成要素75(図1Aないし図2D参照)と主チャンバの内部25との間にアクセス口を提供する。下側チャンバ構造体67は、下側チャンバの内部65を包み込み、また、フランジ付き領域67Aと、側方領域67Bと、外端領域67Cとを備えている。圧力容器を製造する場合と同様の仕方にて、基板加工装置10の主構造体は、上側部分27、側方部分29、中間板73及び下側チャンバ60を適宜な締結具111A及び111Bにより互いに固定することで組み立てられる。締結具111A、111Bは、例えば、主チャンバの内部25の長手方向軸線と平行に向き決めされ且つ、主チャンバの構造体23の上側部分27のフランジ付き領域27A及び下側チャンバの構造体67のフランジ付き領域67A内にねじ止めされた高強度ボルトを備えることができる。好ましくは、上側部分27及び側方部分29は、サブチャンバ90内で発生された高圧に耐えることができる高強度材料により製造されるものとする。適宜な高強度材料の一例は、SA−723スチールである。
図3Bに最も明確に示すように、基板支持装置40は、軸43のような軸方向に向き決めした細長い部材と、軸43の上端に取り付けられたチャンバ基部45とを備えることが好ましい。下側チャンバ構造体67の中間板73及び外端領域67Cの双方は、中央に配置された軸方向穴113、115をそれぞれ有しており、軸43は、これら軸方向穴113、115を通って動く。軸43は、軸43に圧力嵌めされた環状の構成要素とすることができる拡大径部分43Aを有している。拡大径部分43Aの動作範囲は、中間板73と外端領域67Cとの間の下側チャンバの内部65に限定される。基板支持装置40がサブチャンバ90の加圧された内部により加えられた力に反応して下方に動くならば、拡大径部分43Aは補強制止部材143と接触する。このように、補強制止部材143は、基板支持装置40の下方への動きに対する下方限界点を提供する。図3Aに最も良く示すように、下側チャンバ構造体67の側方領域67Bは穴117を有しており、補強制止部材143と関係した連結部材(以下に説明)が該穴を通って伸びている。更に、ベローズ74が軸43の周りに環状に配置され且つ、中間板73と拡大径部分43Aとの間に接続されている。上述したように、ベローズ74は、主チャンバ20と周囲環境との間、並びに主チャンバ20と下側チャンバ60との間にシールを提供し、構成要素が下側チャンバ60内で作動することに起因して主チャンバ20が汚れるのを防止する。
図3A及び図3Bを続けて参照すると、基板支持装置40のチャンバ基部45は、軸43と共に、上昇位置と下降位置との間、従って、基板加工装置10の基板の装荷/除去状態と基板の加工状態との間にて軸方向に動く。従って、好ましい実施の形態において、チャンバ基部45及び(又は)チャンバ基部45に取り付けられたウェハチャック又はプラテン(図4Aないし図4D参照)のような基板の締め付け装置120は、図1Bに示したサブチャンバ下面90Cを構成する。好ましくは、チャンバ基部45の最上方領域は、基板を配置することのできるホタテ貝殻状部分又は凹状部分45Aを有している。凹状部分45Aは、基板の締め付け装置120(図4Aないし図4D)をチャンバ基部45に取り付けることに対応する。主チャンバ20の上側部分27の内面は、主チャンバ20及びサブチャンバ90のそれぞれ内部の上側境界部として交互に作用する凹状部分27Bも有している。基板加工装置10がその基板の加工状態にあるとき、チャンバ基部45は、密封状態にて上側部分27と係合し、凹状部分27B、45Aがサブチャンバ90の一部分を協働して画成する。好ましくは、加工媒体(上側部分27及びチャンバ基部45のそれぞれの内面のような)と直接接触し、従って、該加工媒体により湿った状態とされる全ての面は、耐食性材料にて製造されるものとする。適宜な耐食性材料の非限定的な例は、ハステロイ(HASTELLOY)(登録商標名)C−22又はC−276、合金AL−6XN(登録商標名)又は25−6MOのような超オーステナイトステンレス鋼、モネル(MONEL)(登録商標名)合金等を含む。これと代替的に、加工媒体に露呈される面は、PTFE、PCTFE、PVDF、ニッケル又はクロムのような耐食性バッファ材料にて被覆し又はめっきされる。
次に、図4Aないし図4Dに関して、基板加工装置10の追加的な構造上及び作用上の特徴について説明する。基板の締め付け装置120は、チャンバ基部45の凹状部分45A内に取り付けられている。基板の締め付け装置120は、基板支持面120Aを有しており、当該開示内容を参照した後、当該技術分野の当業者に明らかであるように、任意の所望の固定技術を使用して該基板支持面にて基板を所要位置に保持することができる。1つ又は複数の内部の流体通路120Bが基板の締め付け装置120に形成され且つ、加工媒体の出口導管123と流体的に連通している。加工媒体の出口導管123は、下側チャンバ60の軸方向穴115を通る軸43の下側部分43Bを含む基板支持装置40を貫通して伸びることが好ましい。このことは、基板加工装置10の構造体を貫通する追加的な流体穴を形成することを不要にすることになる。基板加工装置10が基板の加工状態(例えば、図4C及び図4Dを参照)にあるとき、サブチャンバ90は加工媒体の流路と一体的に結合される。サブチャンバ90から上流の加工媒体の流路部分は、加工媒体の供給源103と、加工媒体の供給管101と、主チャンバ20の上側部分27に形成された加工媒体の入口導管127とを有している。サブチャンバ90から下流の加工媒体の流路部分は、基板の締め付け装置120の内部通路120Bと、出口導管123と、加工媒体の戻し管105と、加工媒体の戻し回路107とを有している。更に、側方部分29に形成された半径方向通路129は、排気管77を介して主チャンバの内部25と真空及び(又は)換気源79との間に流体的連通状態を提供する。主チャンバ20の側方部分29に形成された別の半径方向通路(特に図示せず)は、主チャンバの内部25と不活性気体(例えば、N2)供給源83との間に管81(図1A参照)を介して流体的連通状態を提供する。好ましくは、これらの2つの半径方向通路は、主チャンバの内部25の中央長手方向軸線に対し互いに約60°向き決めされるものとする。
図4Aないし図4Dに更に示すように、線形アクチュエータ49は、基板支持装置40の軸43の下側部分43Bに結合される。線形アクチュエータ49は、ステッパモータと、ウォーム歯車とを備えることが好ましいが、空圧又は液圧駆動のピストン、又は当該開示を検討することにより、当該技術分野の当業者に明らかとなるような、その他の適宜な装置を備えることもできる。
図4Aないし図4Dには、本発明により提供される、全体として参照番号140で示した補強機構に関する更なる詳細図も示されている。補強機構140の主要な構成要素は、補強制止部材143と、線形補強アクチュエータ145と、制止部材143及び補強アクチュエータ145を相互に接続する連結機構147とを含む。補強機構140は、1つ又はより多くのユニットを備えることができ、ユニットの各々は、相応する補強制止部材143と、補強アクチュエータ145と、連結機構147とを含む。補強アクチュエータ145は、連結機構147及び制止部材143を空気圧にて駆動することが好ましく、従って、適宜な空気シリンダ及びピストン装置を備えている。これと代替的に、補強アクチュエータ145は、液圧とし又はステッパモータと、リードスクリューのような適宜な力伝達手段とを有するものとしてもよい。制止部材143の構造体は、サブチャンバ90内で確立された高圧の環境により付与される圧縮力に耐えるのに適した材料を備えることが好ましい。制止部材143に適した材料の一例は、SA−723スチールである。
図4Aないし図4Dを続けて参照すると、補強アクチュエータ145は、下側チャンバ60の外側に配置され、また、連結機構147は、下側チャンバ60の側方領域67Bの厚さの1つ又はより多くの穴117を貫通して伸びている(上述し且つ図3A及び図5に示すように)。連結機構147は、補強アクチュエータ145により発生された作動力を制止部材143に適宜に伝達し得る設計とされている。連結機構147及び制止部材143の動きは、基板支持装置40の移動方向に対し全体として横方向に沿って、従って、主チャンバの内部25の長手方向軸線に対し全体として横方向に生じる。これと同時に、連結機構147は、基板支持装置40を通じて伝達されたサブチャンバ60からの荷重に応答して制止部が撓むのを許容し得るよう弾性的に曲がる設計とされている。この曲がり又は撓み方向は、基板支持装置40の移動方向に対し平行な方向に沿って有意な成分を有している。このように、連結機構147の順応性は、作動中、基板支持装置40に加わる周期的動荷重の結果として、補強機構140が経験するであろう破断モードを防止する。しかし、これと同時に、順応性の許容公差は、基板支持装置40の上昇位置を保ち、従って、サブチャンバ90と主チャンバ20との間の隔離されたインターフェースを保つ制止部材143の適正な機能に悪影響を及ぼすことはない。
図5に示した1つの好ましい実施の形態において、連結機構147は、1つ又はより多数の中実ロッド147A、147Bを備えている。より好ましくは、連結機構147は、1対のロッド147A、147Bを備えるものとする。ロッド147A、147Bの各々は、約10ないし約50mm(好ましくは35mm)の範囲の長さと、約1ないし約5mm(好ましくは3mm)の範囲の直径とを有している。好ましくは、ロッド147A、147Bの各々は、AISI 6150ばねスチールで製造されるものとする。
次に、図4Aを特に参照すると、基板加工装置10は、この場合、基板支持装置40が、その下降位置に引込んだ、基板の装荷/除去状態にて示されている。この位置にあるとき、基板締付け装置120及び該装置に取り付けられた全ての基板は、主チャンバの内部25に包み込まれた環境に露呈され、別個のサブチャンバの全体は画成されない。基板締付け装置120は、インターフェース構成要素75と作用状態に整合される高さ位置に配置される。この位置にあるとき、ロボットのエンドエフェクタが開放したインターフェース要素75を通って側方向に移動し、主チャンバ20の封じ込め部に入り、加工工程の前に、基板を基板締付け装置120に装荷するか又は加工工程後、その基板を除去する。基板支持装置40は、基板を主チャンバ20内で適正に操作し且つ、向き決めするのに必要なz軸動作のみを提供することが理解されよう。このように、すなわち、基板を装荷し且つ(又は)除去するために採用される全てのロボット式エンドエフェクタは、専ら又は少なくとも主として、x−y面内で動くことができさえすればよい。
基板の支持及び基板の持ち上げ能力を組み合わせる構造体を使用することは、幾つかの有利な効果を提供することになる。第一に、基板の手渡し作業のために基板加工装置10と共に利用されるロボット式器具は、3空間内で完全に作用可能なロボットと比較して、より簡単でより低廉な設計とすることができる。この第一の有利な効果に関係して、この形態は、基板加工装置10を真空作動の中央取り扱い装置のような別の包み込まれたモジュールと一体化することを容易にする。典型的にかかる真空モジュールが設けられたロボットは、垂直方向への動作能力が制限される。最後に、サブチャンバ90は、ロボット式器具の感知可能なz軸動きに対応し得るような寸法とする必要がないから、サブチャンバ90に必要とされる真空圧は最適な程度に最小限とされる。
次に、特に図4Bを参照すると、基板加工装置10は、基板支持装置40がその完全に上昇位置まで伸びて、サブチャンバ90を画成する、基板の高圧の加工状態にて示されている。更に、この上昇位置にあるとき、軸43の拡大径部分43Aと、下側チャンバ60の外端領域67Cとの間に十分な軸方向隙間が形成され、補強制止部材143を該隙間内に半径方向内方に挿入することを許容する。適宜な密封要素151をチャンバの基部45に取り付けることにより、サブチャンバ90と主チャンバ20との間の隔離状態が向上し又は保証される。
1つの好ましい実施の形態において、基板締付け装置120とチャンバ基部45の環状肩部45Bとの間に環状空間155が半径方向に画成され、リング形状の密封要素151がこの環状空間155内に配置される。サブチャンバ90が加工媒体により加圧されたならば、基板の締付け装置120と、主チャンバ20の内方に面する上側部分27の側との間のインターフェースを通じて環状空間155内への加工媒体の漏洩が生じる。次に、漏洩物は密封要素151に遭遇し、これにより、主チャンバの内部25に逃げることはない。好ましくは、密封要素151は、単純なOリング又はガスケットではなく、カップシールであるものとする。基板締付け装置120に面するカップシール151の内側部は凹状である。この型式のシールは、流体圧力に応答して自己励起される。このように、カップシール151の環状空間155及び凹状部分は、漏洩事象によって加圧されたならば、凹状部分は、膨張し且つ、チャンバ基部45及び上側部分27に対して圧縮してシールの質を向上させる。この目的のため、カップシール151は、弾性的で且つ、加工媒体の化学的作用への露呈に対して化学的抵抗性があり且つ、約34.4738MPag(約5000psig)又はそれ以上大きい圧力差を維持することができる適宜な材料で製造される。カップシール151に対する適宜な材料の非限定的な例は、PTFE及びPCTFEを含む。適宜なカップシール151は、米国、ペンシルベニア州、クルプスヴィールのグリーンツィードアンドカンパニー(Greene,Tweed&Co.)から入手可能なMSE(登録商標名)シールである。面シール指向型カップシールの設計に対する1つの代替例として、その他の密封要素151の例は、ピストンシール指向型カップシール及びoリングシールを含む。
次に、特に図4Cを参照すると、補強制止部材143は、軸43の拡大径部分43Aと下側チャンバ60の外端領域67Cとの間の隙間内にてその完全に伸長した作用可能な位置にて示されている。作用可能な位置にあるとき、制止部材143は各々、拡大張径部分43Aの下側と接触し、サブチャンバ90の加圧の間、基板支持装置40が望ましくなく引き込むのを防止し、従って、清浄化過程中、基板を所要位置に保つことになる。
図4Dには、サブチャンバ90が加工媒体にて充填された後、補強機構140の設計に組み込まれる順応性の造作構造部の作用が示されている。サブチャンバ90の高圧の容積によって加えられた力に応答して、順応性の連結機構147は、補強制止部材143が軸43の拡大径部分43Aの下側及び下側チャンバ60の外端領域67Cの双方と圧縮可能に接触する箇所まで撓む。連結機構147は、連結機構147及び(又は)そのそれぞれの補強アクチュエータ145に望ましくない応力を加えることなく、基板支持装置120内で許容可能な量(例えば、約0.5mm)の自由遊びを取るように撓んでいる。
次に、主として図4Aないし図4Dを参照して、基板加工装置10の作用について説明する。基板Sは、最初に、基板加工装置10の外部環境内で提供される。外部環境は、典型的に、基板の取り扱いロボットを含み、また、雰囲気又は排気状態とすることができる包み込まれた環境である。外部雰囲気環境の一例は、EFEMである(例えば、図6のEFEM210を参照)。排気した外部環境の一例は、真空クラスターツールである(例えば、図8のクラスターツール410を参照)。外部環境は、インターフェース構成要素75を介して基板加工装置10に結合される。当該技術分野の当業者に理解されるように、インターフェース構成要素75は、開放した位置と閉じた位置との間を動いてインターフェース構成要素75を介して主チャンバの内部25内へのアクセスを選択的に可能にする内側ゲートを有している。基板Sを基板加工装置10内に装荷する前に、基板Sに対し、通常、その後の清浄化又は表面の準備過程を必要とし、また、望ましいものとする、1つ又はより多くの製造過程(例えば、フォトレジスト、現像剤及び紫外線放射線の付与を含むマスキング又はその他のリソグラフィック技術、エッチング、アッシング、フィルム堆積、電気めっき、接合パッドの接着、平坦面化、イオン注入、ドーピング、マイクロマシニング、ポリシング、応力除去、加熱等)が行われる。
多数のその他の準備的なステップは、基板Sを基板加工装置10に装荷する前に行うことができる。例えば、主チャンバの内部25は、外部環境と流体的に結合する前に準備することができる。主チャンバの内部25を準備する方法は、基板加工装置10が真空モジュール又は雰囲気モジュールと相互接触しているかどうかに依存する。基板加工装置10が真空モジュールに結合される場合、主チャンバの内部25は、真空/換気源と流体的連通状態を確立することにより、排気し且つ、パージすることができる。基板加工装置10が雰囲気モジュールに結合される場合、主チャンバの内部25は、上述したように、N2のような不活性気体を主チャンバの内部25にて循環させることによりパージすることができる。
更に、加工媒体は、基板Sを基板加工装置10内に装荷する前に、又は本明細書に説明した高圧の清浄化過程と関係して少なくとも加工媒体をサブチャンバ90内に注入する前に、加工媒体を準備する必要があろう。清浄化過程が行われるべき場合、本発明にて利用される加工媒体は、基板S上に保持された望ましくない残留材料を溶融させ且つ(又は)清浄化流体を高圧にてサブチャンバ90内に噴射することを通じて、基板Sの表面に衝撃を加え、望ましくない材料を粉砕することにより、基板Sを清浄化するのに適した任意の流体とすることができる。清浄化流体は、単一の組成を有し又は多数成分の混合体、溶液又はエマルジョンとすることができる。1つの好ましい実施の形態において、清浄化流体は、サブチャンバS内に導入する前に超臨界状態に加熱し且つ、加圧される稠密な液体CO2である。共溶剤、反応剤、不動態化剤、乾燥剤、酸化剤、基剤、表面活性剤又はその他の化学剤のような添加剤は、供給管101を通って流れるCO2流れ中に導入するか、又はサブチャンバS内に噴射する前に、CO2流れと混合させることができる。CO2の超臨界状態を実現するのに必要な温度及び圧力は、かかる添加剤が存在するかどうかに依存する。純粋な液体CO2の場合、超臨界温度は31℃であり、超臨界圧力は71大気圧である。1つの好ましい実施の形態において、CO2は、約10.3421ないし34.4738MPag(約1500ないし5000psig)の圧力にて供給される。
加工媒体及び主チャンバの内部25の準備が為されたならば、基板支持装置40を図4Aに示した下降位置まで動かし、インターフェース構成要素75を開放する。ロボット式の基板取り扱い器具は、基板Sをインターフェース構成要素75を介して搬送し且つ、基板Sを基板締め付け装置120に配置する。基板締め付け装置120は、任意の数の既知の固定技術を採用する設計のものとすることができる。その一例は、基板締め付け装置120の最上面120Aに吸引力を発生させるものである。基板Sが基板締め付け装置120に固定された後、インターフェース構成要素75を閉じ且つ、基板支持装置40を図4Bに示した上昇位置まで持ち上げる。この位置において、サブチャンバSが形成され且つ、基板Sを包み込む。次に、補強機構140を励起させ、制止部材143を図4Cに示した位置に挿入し、基板支持装置40に対する軸方向支持体を提供し且つ、サブチャンバ90と主チャンバの内部25との間のインターフェースにおけるシールの完全さを保つ。この時点にて、基板Sは清浄化の用意が整う。加工媒体の入口管101に沿って適宜に配置された1つ又はより多くの弁が開放され、加工媒体は、サブチャンバ90内に圧送される。サブチャンバ90は、加工媒体にて137.895kPag(20psig)ないし34.4738MPag(5000psig)の範囲の圧力まで加圧することができる。所望であるならば、加圧する前、加工媒体を低圧にてサブチャンバ90内に流動させ且つ、戻し回路107に送ってサブチャンバ90及びサブチャンバ90の上流及び下流の関係した流体路から空気をパージすることができる。高圧の清浄化過程の間、所望であるならば、サブチャンバ90は、循環的な仕方にて急速に加圧し且つ減圧して清浄化効果を向上させる圧力パルスを発生させることができる。このサイクルの減圧相は、基板S上に形成されたトレンチ又はバイアスのようなマイクロ寸法の造作構造から望ましくない材料(加圧相の間に軟化したフォトレジスト又はエッチ残留堆積物のような)を除去するのに有用である。
清浄化過程が完了したならば、加工媒体の戻し経路内に適宜に配置された弁を開放して、加工媒体をサブチャンバ90から戻し回路107にフラッシングすることができる。フラッシングステップは、追加の純粋な加工媒体をサブチャンバ90を通じて循環するステップを含むことができる。その後、上述したように、基板加工装置40をその下降位置まで動かすことにより、基板加工装置40を図4Aに示した装荷/除去状態に戻るように調節する。次に、インターフェース構成要素75を開放して基板取り扱い器具を主チャンバの内部25内に導入して基板Sを加工装置10から除去し、必要に応じて異なるモジュール内で更に加工することができる。基板Sの清浄化に使用した加工媒体の少なくとも一部分を除去し、汚染材料を加工媒体から分離し、清浄化した加工媒体を再使用のためシステム内に再循環して戻す構成要素(以下に説明する)を提供することができる。
次に、図6ないし図8を参照すると、基板加工装置10が加工媒体の分配回路及びその他の基板加工モジュールと一体化されたシステムレベルの実施の形態の例が示されている。図6には、全体として参照番号200で示した独立的な雰囲気システムが示してある。このシステム200にて、基板加工装置10は、インターフェース75構成要素を介して、雰囲気基板搬送モジュール215と、基板装荷及び(又は)選別装置220とを備える、全体として参照番号210で示した「装置の前端モジュール」すなわちEFEMに直結されている。基板搬送モジュール210は、従来の設計のものとすることができ、囲い物215Aと、基板搬送ロボット225とを備えている。基板搬送モジュール210は、典型的に、標準的な機械的インターフェース(SMIF)技術に従って、基板装荷装置220に結合される。基板装荷装置220は、従来設計のウェハカセット又はポッド装置(例えば、SMIFポッド、前部開放一体化ポッドすなわち「FOUP」)とすることができる。この形態により、ロボット225は、基板を基板装荷装置220からインターフェース構成要素75を介して基板加工装置10内に搬送することができる。
図6において、基板加工装置10に結合された加工媒体分配回路は、加圧された加工媒体をバルク貯蔵箇所から基板加工装置10に供給する供給/加圧サブシステム230(これは、図1B及び図4Aないし図4Dの加工媒体供給源103と関係付けることができる)を備えている。このサブシステム230から供給された加工媒体は、適宜な熱交換器235を通じて送られ、1つの好ましい実施の形態において、加工媒体をその超臨界温度又はそれ以上の温度まで加熱する。上述したように、接着剤を加工媒体と混合させる添加剤噴射サブシステム240が設けられる。同様に、上述したように、使用済みの加工媒体を回収し且つ、清浄化するため再循環サブシステム245が設けられる。最後に、減圧サブシステム250(これは図1B及び図4Aないし図4Dの真空/換気源79と関係付けることができる)は、基板加工装置10のパージング、換気及び(又は)排気の目的のため、排出システムとして機能する。
図7には、全体として参照番号300で示したクラスター型雰囲気システムが示されている。このシステム300において、EFEM310は、クラスター化可能な雰囲気基板搬送モジュール315と、複数の基板装荷装置320A、320B(その2つを図示)とを備えている。基板搬送モジュール315は、同様に、全体として、囲い物315Aと、基板搬送ロボット325とを備えている。システム300は、また、それぞれのインターフェース構成要素75A、75Bを介して基板搬送モジュール315に結合された複数の基板加工装置10A、10B(一例としてその2つを図示)を提供する。単に一例として、供給/加圧サブシステム330及び減圧サブシステム350は、全ての基板加工装置10A、10Bに共通である一方、それぞれの基板加工装置10A、10Bの各々に対し、添加剤噴射サブシステム340A、340B、熱交換器335A、335B及び再循環サブシステム345A、345Bのような専用の要素が設けられる。
図8には、全体として参照番号400で示したクラスター型真空システムが図示されている。このシステム400において、基板加工装置10は、全体として参照番号410で示した真空クラスターツールに直結されている。真空クラスターツール410は、従来の設計のものとすることができ、また、囲い物410Aと、基板搬送ロボット425とを備えるものとする。囲い物410Aにより維持された排気した真空圧は、真空クラスターツール410と適合可能な基板装荷モジュール(図示せず)との間のインターフェースとして機能するよう1つ又はより多くの荷重ロック装置420A、420Bを採用することを必要とする。1つ又はより多くのマイクロ寸法デバイスの製造モジュール427A、427Bがそれぞれの密閉的弁429A、429Bにより真空クラスターツール410に結合され、基板搬送ロボット425によりアクセス可能である。デバイス製造モジュール427A、427Bは、基板加工装置10によって行われる上述した基板清浄化過程の前又はその後の何れかにて基板にて製造方法を行い得るようにすることができる。実施可能な製造方法の非限定的な例は、物理的気相成長法、化学的気相成長法、蒸発、昇華、酸化、炭化、窒化、ドーピング、焼鈍、ウェット又はドライエッチング、アッシング、マイクロ寸法の組み立て、単層の自動組み立て、リソグラフィ、ウェハ対ウェハ接合又はエンキャプシュレーション、マイクロマシニング、平坦化等を含む。加工媒体の分配回路(要素430ないし450)を図6及び図7に示したものと同様の仕方にて配置することができる。
図6ないし図8に示したそれぞれのシステム200、300、400の上記の説明から、本発明は、高純度雰囲気領域又は真空領域の何れかにて作動し且つ、任意の数及び型式の所望の加工ステップと共に作動する基板加工装置10とその他の基板加工モジュールとの間を直接的に一体化することを可能にするのに十分に柔軟性であることが理解できる。基板をこれらの色々な加工モジュールの間にて搬送することは、基板を周囲環境に露呈することを必要とせず、これによりかかるシステムのユーザが予定する製造及び加工媒体の任意の組み合わせを最適にするものである。
本発明の色々な詳細は本発明の範囲から逸脱せずに変更することが理解されよう。更に、上記の説明は、単に説明の目的のためであり、限定することを目的とするものではなく、本発明は特許請求の範囲により規定されることが理解されよう。
1Aは、装置が基板装荷/除去状態に配置された、本発明に従って提供された基板加工装置の概略図である。 1Bは、装置が高圧の加工状態に配置された、図1Aに示した基板加工装置の概略図である。 2Aは、加圧可能なサブチャンバを取り巻く主チャンバ内に形成し且つ、該取り巻く主チャンバを隔離することができる代替的な方法を示す、図1Aに示した基板加工装置の代替的な実施の形態を示す概略平面図である。
2Bは、加圧可能なサブチャンバを取り巻く主チャンバ内に形成し且つ、該取り巻く主チャンバを隔離することができる代替的な方法を示す、図1Bに示した基板加工装置の別の代替的な実施の形態を示す概略平面図である。
2Cは、加圧可能なサブチャンバを取り巻く主チャンバ内に形成し且つ、該取り巻く主チャンバを隔離することができる追加的な代替的な方法を示す、図1Aに示した基板加工装置の更に代替的な実施の形態を示す概略頂面図である。
2Dは、加圧可能なサブチャンバを取り巻く主チャンバ内に形成し且つ、該取り巻く主チャンバを隔離することができる追加的な代替的な方法を示す、図1Bに示した基板加工装置の更に代替的な実施の形態を示す概略頂面図である。
3Aは、本発明の1つの好ましい実施の形態に従った構造とされた基板加工装置の斜視図である。
3Bは、図3Aに示した好ましい実施の形態の切欠き斜視図である。
4Aは、その基板の装荷/除去位置とその高圧の加工位置との間にて装置の調節状態を示す、本発明による基板加工装置の切欠き平面図である。 4Bは、その基板の装荷/除去位置とその高圧の加工位置との間にて装置の別の調節状態を示す、本発明による基板加工装置の切欠き平面図である。 4Cは、その基板の装荷/除去位置とその高圧の加工位置との間にて装置の更に別の調節状態を示す、本発明による基板加工装置の切欠き平面図である。 4Dは、その基板の装荷/除去位置とその高圧の加工位置との間にて装置の更に別の調節状態を示す、本発明による基板加工装置の切欠き平面図である。 本発明による基板加工装置と共に設けられた補強機構の頂面図である。 本発明による独立的な雰囲気基板加工システムと基板加工装置の一体化状態を示す概略線図である。 基板加工装置とクラスター化した雰囲気基板処理システムの一体化状態を示す概略線図である。 基板加工装置とクラスター化した真空基板加工装置の一体化状態を示す概略線図である。

Claims (77)

  1. マイクロエレクトロニック基板を加工する装置において、
    (a)主チャンバの内部を包み込む主チャンバ壁を備える主チャンバと、
    (b)マイクロエレクトロニック基板を保持するサブチャンバであって、サブチャンバの内部を包み込み且つ、主チャンバの内部に配置されたサブチャンバ壁を備え、該サブチャンバ壁が境界部を備え、サブチャンバの内部が主チャンバから流体的に隔離されるようにされた前記サブチャンバと、
    (c)主チャンバの壁に形成され、サブチャンバの内部と連通する流体導管と、を備える、マイクロエレクトロニック基板を加工する装置。
  2. 請求項1に記載の装置において、サブチャンバが、主チャンバよりもサブチャンバの内部にて高圧力を維持し得るようにされる、装置。
  3. 請求項2に記載の装置において、サブチャンバが、主チャンバの内部が実質的に雰囲気圧力にある間、サブチャンバの内部にて雰囲気圧力以上の圧力を維持し得るようにされる、装置。
  4. 請求項2に記載の装置において、サブチャンバが、主チャンバの内部が雰囲気圧力の以下の圧力にある間、サブチャンバの内部にて雰囲気圧以上の圧力を維持し得るようにされる、装置。
  5. 請求項1に記載の装置において、流体導管を通じてサブチャンバの内部と連通し、サブチャンバの内部を主チャンバの内部よりも高い圧力に加圧する加工媒体の供給源を備える、装置。
  6. 請求項1に記載の装置において、主チャンバの内部と流体的に連通する真空源を備える、装置。
  7. 請求項1に記載の装置において、主チャンバの内部と流体的に連通する換気口を備える、装置。
  8. 請求項1に記載の装置において、主チャンバの内部と流体的に連通する気体供給源を備える、装置。
  9. 請求項1に記載の装置において、主チャンバの壁に形成された流体導管が、流体入口導管であり、サブチャンバの内部と流体的に連通する流体出口導管を更に備える、装置。
  10. 請求項1に記載の装置において、サブチャンバの内部と主チャンバの内部との間のインターフェースに配置され且つ、サブチャンバの内部と主チャンバの内部との間の流体的隔離状態を向上させ得るようにされた密封要素を備える、装置。
  11. 請求項10に記載の装置において、密封要素がサブチャンバ内に配置された基板支持面により支持される、装置。
  12. 請求項1に記載の装置において、主チャンバの内部と主チャンバの外部環境との間にインターフェースを提供する密閉的に密封されたゲートを備え、該ゲートが主チャンバの内部に選択的にアクセスし得るようにされる、装置。
  13. 請求項1に記載の装置において、サブチャンバの内部が、約10mLないし約10Lの範囲の容積を有する、装置。
  14. 請求項1に記載の装置において、サブチャンバの内部が、約50mmないし約450mmの範囲の直径を有する、装置。
  15. 請求項1に記載の装置において、サブチャンバの壁の境界部は、主チャンバの内部で閉じた位置と開放位置との間にて可動であり、閉じた位置において、境界部は、サブチャンバの内部を少なくとも部分的に取り囲み且つ、サブチャンバの内部を主チャンバから少なくとも部分的に流体的に隔離する、装置。
  16. 請求項15に記載の装置において、境界部の閉じた位置において、該境界部が、装置の内面と協働してサブチャンバの内部を包み込み且つ、サブチャンバの内部を主チャンバの内部から流体的に隔離する、装置。
  17. 請求項15に記載の装置において、サブチャンバの壁の境界部が、(i)サブチャンバの内面に対し可動である基板支持面、又は、(ii)サブチャンバの内部に配置された基板支持面に対し可動であるサブチャンバの内面、又は、(iii)基板支持面及びサブチャンバの内面の1つを備え、基板支持面及びサブチャンバの内面が互いに可動である、装置。
  18. マイクロエレクトロニック基板を加工する装置において、
    (a)主チャンバの内部を包み込む主チャンバ壁を備える主チャンバと、
    (b)主チャンバの内部に配置されて、また、第一の位置と第二の位置との間にて可動である可動の境界部であって、第一の位置において、可動の境界部は、主チャンバの内部から流体的に隔離されたサブチャンバの内部を備えるサブチャンバを少なくとも部分的に画成する前記可動の境界部とを備える、マイクロエレクトロニック基板を加工する装置。
  19. 請求項18に記載の装置において、サブチャンバの内部と連通して主チャンバの壁を通って伸びる流体導管を更に備える、装置。
  20. 請求項19に記載の装置において、主チャンバの壁に形成された流体導管が流体入口導管であり、サブチャンバの内部と流体的に連通する流体出口導管を更に備える、装置。
  21. 請求項18に記載の装置において、サブチャンバの内部を主チャンバの内部よりも高圧力に加圧すべくサブチャンバの内部と連通する加工媒体供給源を備える、装置。
  22. 請求項18に記載の装置において、可動の境界部の第一の位置において、サブチャンバの内部が主チャンバの内部よりも高圧力を有する、装置。
  23. 請求項22に記載の装置において、可動の境界部の第一の位置において、サブチャンバの内部が雰囲気圧力以上の圧力を有し、主チャンバの内部が実質的に雰囲気圧力を有する、装置。
  24. 請求項22に記載の装置において、可動の境界部の第一の位置において、サブチャンバの内部が雰囲気圧力以上の圧力を有し、主チャンバの内部が雰囲気圧力以下の圧力を有する、装置。
  25. 請求項18に記載の装置において、可動の境界部の第一の位置において、該境界部が、装置の内面と協働してサブチャンバの内部を包み込み且つ、サブチャンバの内部を主チャンバの内部から流体的に隔離する、装置。
  26. 請求項18に記載の装置において、可動の境界部が、(i)サブチャンバの内面に対し可動である基板支持面、又は、(ii)サブチャンバの内部に配置された基板支持面に対し可動であるサブチャンバの内面、又は、(iii)基板支持面及びサブチャンバの内面の1つを備え、基板支持面及びサブチャンバの内面が互いに可動である、装置。
  27. 請求項18に記載の装置において、主チャンバの内部と流体的に連通する真空源を備える、装置。
  28. 請求項18に記載の装置において、主チャンバの内部と流体的に連通する換気口を備える、装置。
  29. 請求項18に記載の装置において、主チャンバの内部と流体的に連通する気体供給源を備える装置。
  30. 請求項18に記載の装置において、密封要素が主チャンバの内部に配置され、可動の境界部の第一の位置において、密封要素が、サブチャンバの内部を主チャンバの内部から流体的に隔離する、装置。
  31. 請求項30に記載の装置において、密封要素が、サブチャンバ内に配置された基板支持面により支持される、装置。
  32. 請求項18に記載の装置において、主チャンバの内部と主チャンバの外部環境との間にインターフェースを提供する密閉的に密封されたゲートを備え、該ゲートが、主チャンバの内部に選択的にアクセスし得るようにされる、装置。
  33. 請求項18に記載の装置において、サブチャンバの内部が約10mLないし約10Lの範囲の容積を有する、装置。
  34. 請求項18に記載の装置において、サブチャンバの内部が、約50mmないし約450mmの範囲の直径を有する、装置。
  35. マイクロエレクトロニック基板を加工する装置において、
    (a)主チャンバの内部を包み込み且つ、内面を備える主チャンバ壁を備える主チャンバと、
    (b)主チャンバの内部で開放位置と閉じた位置との間にて可動であり、基板支持面を備える基板支持装置であって、閉じた位置において、基板支持面及び内面は、主チャンバの内部から流体的に隔離されたサブチャンバを少なくとも部分的に画成する前記基板支持装置と、
    (c)サブチャンバと連通して主チャンバ壁を通って伸びる流体導管と、を備える、マイクロエレクトロニック基板を加工する装置。
  36. 請求項35に記載の装置において、基板支持装置の少なくとも一部分が高降伏強さ材料にて製造される、装置。
  37. 請求項35に記載の装置において、主チャンバ壁の少なくとも一部分が高降伏強さ材料にて製造される、装置。
  38. 請求項35に記載の装置において、主チャンバ壁の内面及び基板支持面が耐食性材料にて製造される、装置。
  39. 請求項35に記載の装置において、主チャンバ壁の内面及び基板支持面が耐食性材料にて処理される、装置。
  40. 請求項35に記載の装置において、主チャンバの内部と主チャンバの外部環境との間にインターフェースを提供する密閉的に密封されたゲートを備え、基板支持装置の開放位置において、基板支持面が、外部環境から基板支持面にアクセスするのを許容するよう該ゲートと全体として整合される、装置。
  41. 請求項35に記載の装置において、主チャンバの内部に配置された密封要素を備え、基板支持装置の閉じた位置において、該密封要素が、サブチャンバと主チャンバの内部との間に流体的に密封された境界部を提供する、装置。
  42. 請求項41に記載の装置において、密封要素が、基板支持装置により支持され且つ、基板支持面に外接する、装置。
  43. 請求項35に記載の装置において、基板支持装置の閉じた位置において、サブチャンバが雰囲気圧力以上の圧力を有し、主チャンバの内部が実質的に雰囲気圧力を有する、装置。
  44. 請求項35に記載の装置において、基板支持装置の閉じた位置において、サブチャンバが雰囲気圧力以上の圧力を有し、主チャンバの内部が雰囲気圧力以下の圧力を有する、装置。
  45. 請求項35に記載の装置において、主チャンバが一端部分を有し、該一端部分が、主チャンバ内に開放する穴を有し、基板支持装置が該穴を通って可動であり、該穴に配置され且つ、主チャンバの内部を主チャンバの外部環境から流体的に隔離する密封要素を更に備える、装置。
  46. 請求項35に記載の装置において、基板支持装置を開放位置と閉じた位置との間にて動かし得るように基板支持装置に結合されたアクチュエータを備える、装置。
  47. 請求項35に記載の装置において、主チャンバ壁と機械的に関係付けられた補強装置を備える、装置。
  48. 請求項47に記載の装置において、補強装置が、基板支持装置と主チャンバ壁に関係付けられた構造体との間にて可動である制止部材を備える、装置。
  49. 請求項48に記載の装置において、補強装置が、アクチュエータと、該アクチュエータ及び制止部材を相互に接続する順応性の連結機構とを備える、装置。
  50. 請求項49に記載の装置において、順応性の連結機構がロッドを備える、装置。
  51. 請求項50に記載の装置において、ロッドが、約10mmないし約100mmの範囲の長さを有する、装置。
  52. 請求項50に記載の装置において、ロッドが、約1mmないし約5mmの範囲の直径を有する、装置。
  53. 請求項49に記載の装置において、順応性連結機構が少なくとも2つのロッドを備える、装置。
  54. 基板を加工する装置において、
    (a)基板支持面及び密封要素を備える可動の基板支持構造体と、
    (b)基板支持面及び密封要素により境が設定された加工チャンバと、
    (c)加工チャンバを取り巻き且つ、主チャンバの外部の環境から及び加工チャンバから流体的に密封可能な主チャンバの内部を包み込む主チャンバと、
    (d)基板支持面に結合され且つ、開放状態と閉じた状態との間にて加工チャンバを制御し得るようにされたアクチュエータとを備え、閉じた状態において、密封要素が加工チャンバと主チャンバとの間に流体的に隔離された境界部を提供し、開放状態において、基板支持面が主チャンバの内部に露呈される、基板を加工する装置。
  55. マイクロエレクトロニック基板を加工し且つ、基板の加工モードと基板のアクセスモードとの間にて調節可能な装置において、
    (a)主チャンバの内部を包み込む主チャンバ壁を備える主チャンバと、
    (b)主チャンバに取り付けられ且つ、開放状態と閉じた状態との間にて作動可能なインターフェース構成要素であって、(i)開放状態において、主チャンバの外部の環境から主チャンバの内部にアクセスすることを許容し、(ii)閉じた状態において、主チャンバを外部環境から密封する前記インターフェース構成要素と、
    (c)主チャンバ内にて基板加工モードに相応する第一の位置及び基板アクセスモードに相応する第二の位置に交互に可動である境界部であって、(i)第一の位置において、主チャンバの内部から密封的に分離され且つ、基板を封じ込め得るようにされた加圧可能なサブチャンバを少なくとも部分的に画成し、(ii)第二の位置において、基板を開放した状態のインターフェース構成要素を通じて主チャンバに対し出入りするよう搬送することを許容する前記境界部とを備える、マイクロエレクトロニック基板を加工し且つ、基板の加工モードと基板のアクセスモードとの間にて調節可能な装置。
  56. 請求項55に記載の装置において、外部環境を包み込む基板の取り扱いモジュールを備え、インターフェース構成要素が、主チャンバ及び基板の取り扱いモジュールを相互に結合する、装置。
  57. 請求項56に記載の装置において、基板の取り扱いモジュールが、基板搬送チャンバと、基板搬送チャンバ内に配置されたロボット式エンドエフェクタとを備え、インターフェース構成要素が、基板搬送チャンバから主チャンバの内部まで且つ、主チャンバの内部からエンドエフェクタが動くのに対応し得るようにされる、装置。
  58. 請求項56に記載の装置において、主チャンバ及び基板の取り扱いモジュールが、それぞれの実質的に雰囲気圧力環境を包み込む、装置。
  59. 請求項58に記載の装置において、基板の取り扱いモジュールに結合された基板装荷装置を備える、装置。
  60. 請求項56に記載の装置において、主チャンバ及び基板の取り扱いモジュールがそれぞれの真空圧環境を包み込む、装置。
  61. 請求項60に記載の装置において、基板の取り扱いモジュールに密閉的に結合された基板の真空圧製造モジュールを備える、装置。
  62. マイクロエレクトロニック基板を加工する方法において、
    (a)装置であって、
    (i)主チャンバの内部を包み込む主チャンバ壁を備える主チャンバと、
    (ii)サブチャンバの内部を包み込み且つ、主チャンバの内部に配置されたサブチャンバ壁を備え、サブチャンバ壁が境界部を備える、サブチャンバとを備える前記装置を提供するステップと、
    (b)マイクロエレクトロニック基板をサブチャンバの内部に導入するステップと、
    (c)サブチャンバの内部を主チャンバの内部から流体的に隔離するステップと、
    (d)加工媒体をサブチャンバの内部に導入し、これにより、加工媒体がサブチャンバの内部を雰囲気圧力以上の圧力に加圧し且つ、基板に接触するようにするステップとを備える、マイクロエレクトロニック基板を加工する方法。
  63. 請求項62に記載の方法において、加工媒体が、主チャンバ壁に形成された流体導管を通じてサブチャンバの内部に導入される、方法。
  64. 請求項62に記載の方法において、サブチャンバの内部が主チャンバの内部から流体的に隔離されている間、主チャンバの内部を雰囲気圧力に又は雰囲気圧力以下の圧力に維持するステップを備える、方法。
  65. 請求項62に記載の方法において、サブチャンバの内部を流体的に隔離するステップが、サブチャンバ壁の境界部を開放位置から閉じた位置に動かすステップを備える、方法。
  66. 請求項65に記載の方法において、境界部が開放位置にある間、基板を主チャンバの密封可能なインターフェースを通じて主チャンバ内に搬送するステップを備える、方法。
  67. 請求項66に記載の方法において、基板を境界部上に配置するステップを備える、方法。
  68. 請求項65に記載の方法において、境界部に結合されたアクチュエータを使用して境界部を開放位置と閉じた位置との間にて動かすステップを備える、方法。
  69. 請求項62に記載の方法において、サブチャンバの壁の境界部が、(i)サブチャンバの内面に対し可動である基板支持面、又は、(ii)サブチャンバの内部に配置された基板支持面に対し可動であるサブチャンバの内面、又は、(iii)基板支持面及びサブチャンバの内面の1つを備え、基板支持面及びサブチャンバの内面が互いに可動である、方法。
  70. 請求項62に記載の方法において、補強装置を境界部と主チャンバ壁に関係付けられた構造体との間にて動かすことにより、サブチャンバの内部の流体隔離状態を維持するステップを備える、方法。
  71. 請求項70に記載の方法において、補強装置が主チャンバ壁と機械的に接触するようにされる、方法。
  72. 請求項71に記載の方法において、補強装置が主チャンバ壁に機械的に関係付けられる、方法。
  73. 請求項62に記載の方法において、真空源を使用して主チャンバの内部の圧力を降下させるステップを備える、方法。
  74. 請求項62に記載の方法において、主チャンバの内部を該主チャンバの内部と流体的に連通する換気口を通じてパージングするステップを備える、方法。
  75. 請求項62に記載の方法において、加工媒体が稠密化した二酸化炭素を備える、方法。
  76. 請求項75に記載の方法において、稠密化した二酸化炭素が超臨界流体二酸化炭素を備える、方法。
  77. 請求項75に記載の方法において、稠密化した二酸化炭素が液体二酸化炭素を備える、方法。
JP2004514118A 2002-06-13 2003-05-09 基板加工装置及び関連するシステム及び方法 Pending JP2005530343A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/170,578 US6846380B2 (en) 2002-06-13 2002-06-13 Substrate processing apparatus and related systems and methods
PCT/US2003/014586 WO2003107396A2 (en) 2002-06-13 2003-05-09 Substrate processing apparatus and related systems and methods

Publications (1)

Publication Number Publication Date
JP2005530343A true JP2005530343A (ja) 2005-10-06

Family

ID=29732533

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004514118A Pending JP2005530343A (ja) 2002-06-13 2003-05-09 基板加工装置及び関連するシステム及び方法

Country Status (7)

Country Link
US (1) US6846380B2 (ja)
EP (1) EP1549780A4 (ja)
JP (1) JP2005530343A (ja)
CN (1) CN1675401A (ja)
AU (1) AU2003249616A1 (ja)
TW (1) TWI296816B (ja)
WO (1) WO2003107396A2 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016500203A (ja) * 2013-02-20 2016-01-07 クックジェ エレクトリック コリア カンパニー リミテッド 乾式気相蝕刻装置
JP2020526925A (ja) * 2017-07-14 2020-08-31 マイクロマテリアルズ エルエルシー 高圧処理チャンバ用のガス供給システム
JP2021502704A (ja) * 2017-11-11 2021-01-28 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
JP2023513796A (ja) * 2020-02-17 2023-04-03 アプライド マテリアルズ インコーポレイテッド 流動性間隙充填膜のためのマルチステッププロセス
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials

Families Citing this family (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002227418A1 (en) * 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4182539B2 (ja) * 2002-09-30 2008-11-19 日本精工株式会社 位置決め装置
US20050056441A1 (en) * 2002-10-01 2005-03-17 Rider Gavin Charles Reduction of electric-field-induced damage in field-sensitive articles
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040096586A1 (en) * 2002-11-15 2004-05-20 Schulberg Michelle T. System for deposition of mesoporous materials
JP4121413B2 (ja) * 2003-03-31 2008-07-23 株式会社神戸製鋼所 板状被処理品の高圧処理装置
US7153388B2 (en) * 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP2006526407A (ja) * 2003-06-05 2006-11-24 バイオプロセッサーズ コーポレイション プロセス自動化のためのシステムおよび方法
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US7648584B2 (en) * 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
JP4248989B2 (ja) * 2003-10-10 2009-04-02 大日本スクリーン製造株式会社 高圧処理装置および高圧処理方法
TW200520049A (en) * 2003-10-21 2005-06-16 Nikon Corp Environment-controlling apparatus, device-producing apparatus, device-producing method, and exposure apparatus
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20050115492A1 (en) * 2003-11-28 2005-06-02 Chia-Cheng Liu Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US8323420B2 (en) * 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US20050155874A1 (en) * 2004-01-21 2005-07-21 Noah Chen SMIF box and loading system of reticle
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
CN1960813A (zh) * 2004-05-07 2007-05-09 高级技术材料公司 在制备集成电路产品过程中用于干燥构图晶片的组合物和方法
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US7137301B2 (en) * 2004-10-07 2006-11-21 Mks Instruments, Inc. Method and apparatus for forming a reference pressure within a chamber of a capacitance sensor
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8288180B2 (en) * 2005-07-04 2012-10-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing light emitting device
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8480810B2 (en) * 2005-12-30 2013-07-09 Lam Research Corporation Method and apparatus for particle removal
EP2428557A1 (en) * 2005-12-30 2012-03-14 LAM Research Corporation Cleaning solution
KR100753493B1 (ko) * 2006-01-21 2007-08-31 서강대학교산학협력단 세정장치
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
WO2007149810A1 (en) * 2006-06-20 2007-12-27 Advanced Technology Materials, Inc. Electrochemical sampling head or array of same
US20090200171A1 (en) * 2006-06-20 2009-08-13 Advanced Technology Materials, Inc. Electrochemical sensing and data analysis system, apparatus and method for metal plating
SG174093A1 (en) * 2006-08-22 2011-09-29 Nordson Corp Apparatus and methods for handling workpieces in a processing system
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US8388762B2 (en) * 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US8084406B2 (en) 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
US20090179158A1 (en) * 2008-01-16 2009-07-16 Varian Semiconductor Equpiment Associate, Inc. In-vacuum protective liners
US8097081B2 (en) 2008-06-05 2012-01-17 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
US9157167B1 (en) 2008-06-05 2015-10-13 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
US20090301388A1 (en) * 2008-06-05 2009-12-10 Soraa Inc. Capsule for high pressure processing and method of use for supercritical fluids
US8871024B2 (en) 2008-06-05 2014-10-28 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
WO2011044554A1 (en) 2009-10-09 2011-04-14 Soraa, Inc. Method for synthesis of high quality large area bulk gallium based crystals
US9404197B2 (en) 2008-07-07 2016-08-02 Soraa, Inc. Large area, low-defect gallium-containing nitride crystals, method of making, and method of use
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
US8124996B2 (en) 2008-08-04 2012-02-28 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US10036099B2 (en) 2008-08-07 2018-07-31 Slt Technologies, Inc. Process for large-scale ammonothermal manufacturing of gallium nitride boules
US8021481B2 (en) 2008-08-07 2011-09-20 Soraa, Inc. Process and apparatus for large-scale manufacturing of bulk monocrystalline gallium-containing nitride
US8323405B2 (en) 2008-08-07 2012-12-04 Soraa, Inc. Process and apparatus for growing a crystalline gallium-containing nitride using an azide mineralizer
US20100031873A1 (en) * 2008-08-07 2010-02-11 Soraa, Inc. Basket process and apparatus for crystalline gallium-containing nitride
US8430958B2 (en) 2008-08-07 2013-04-30 Soraa, Inc. Apparatus and method for seed crystal utilization in large-scale manufacturing of gallium nitride
US8979999B2 (en) 2008-08-07 2015-03-17 Soraa, Inc. Process for large-scale ammonothermal manufacturing of gallium nitride boules
US8148801B2 (en) * 2008-08-25 2012-04-03 Soraa, Inc. Nitride crystal with removable surface layer and methods of manufacture
US7976630B2 (en) 2008-09-11 2011-07-12 Soraa, Inc. Large-area seed for ammonothermal growth of bulk gallium nitride and method of manufacture
US8354679B1 (en) 2008-10-02 2013-01-15 Soraa, Inc. Microcavity light emitting diode method of manufacture
US8455894B1 (en) 2008-10-17 2013-06-04 Soraa, Inc. Photonic-crystal light emitting diode and method of manufacture
USRE47114E1 (en) 2008-12-12 2018-11-06 Slt Technologies, Inc. Polycrystalline group III metal nitride with getter and method of making
US8878230B2 (en) 2010-03-11 2014-11-04 Soraa, Inc. Semi-insulating group III metal nitride and method of manufacture
US9543392B1 (en) 2008-12-12 2017-01-10 Soraa, Inc. Transparent group III metal nitride and method of manufacture
US9589792B2 (en) 2012-11-26 2017-03-07 Soraa, Inc. High quality group-III metal nitride crystals, methods of making, and methods of use
US8987156B2 (en) 2008-12-12 2015-03-24 Soraa, Inc. Polycrystalline group III metal nitride with getter and method of making
US8461071B2 (en) 2008-12-12 2013-06-11 Soraa, Inc. Polycrystalline group III metal nitride with getter and method of making
US20100147210A1 (en) * 2008-12-12 2010-06-17 Soraa, Inc. high pressure apparatus and method for nitride crystal growth
JP2010148632A (ja) * 2008-12-25 2010-07-08 Sharp Corp 洗浄装置
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8299473B1 (en) 2009-04-07 2012-10-30 Soraa, Inc. Polarized white light devices using non-polar or semipolar gallium containing materials and transparent phosphors
US8306081B1 (en) 2009-05-27 2012-11-06 Soraa, Inc. High indium containing InGaN substrates for long wavelength optical devices
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US8435347B2 (en) 2009-09-29 2013-05-07 Soraa, Inc. High pressure apparatus with stackable rings
JP2011187539A (ja) * 2010-03-05 2011-09-22 Sinfonia Technology Co Ltd ガス注入装置、ガス排出装置、ガス注入方法及びガス排出方法
US8623145B2 (en) * 2010-03-25 2014-01-07 Parker-Hannifin Corporation Substrate processing apparatus with composite seal
US9564320B2 (en) 2010-06-18 2017-02-07 Soraa, Inc. Large area nitride crystal and method for making it
FR2961630B1 (fr) * 2010-06-22 2013-03-29 Soitec Silicon On Insulator Technologies Appareil de fabrication de dispositifs semi-conducteurs
US8729559B2 (en) 2010-10-13 2014-05-20 Soraa, Inc. Method of making bulk InGaN substrates and devices thereon
US9302358B2 (en) 2011-01-18 2016-04-05 Applied Materials Israel, Ltd. Chamber elements and a method for placing a chamber at a load position
WO2012099579A1 (en) * 2011-01-18 2012-07-26 Applied Materials Israel Ltd. Chamber elements and a method for placing a chamber at a load position
US8786053B2 (en) 2011-01-24 2014-07-22 Soraa, Inc. Gallium-nitride-on-handle substrate materials and devices and method of manufacture
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
TWI497639B (zh) * 2011-03-11 2015-08-21 Ap Systems Inc 基底夾盤單元、包含該基底夾盤單元的基底處理裝置以及基底轉移方法
US8492185B1 (en) 2011-07-14 2013-07-23 Soraa, Inc. Large area nonpolar or semipolar gallium and nitrogen containing substrate and resulting devices
US9694158B2 (en) 2011-10-21 2017-07-04 Ahmad Mohamad Slim Torque for incrementally advancing a catheter during right heart catheterization
US10029955B1 (en) 2011-10-24 2018-07-24 Slt Technologies, Inc. Capsule for high pressure, high temperature processing of materials and methods of use
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US8482104B2 (en) 2012-01-09 2013-07-09 Soraa, Inc. Method for growth of indium-containing nitride films
KR20130092217A (ko) * 2012-02-10 2013-08-20 주식회사 엠엠테크 습식 처리 장치
US10145026B2 (en) 2012-06-04 2018-12-04 Slt Technologies, Inc. Process for large-scale ammonothermal manufacturing of semipolar gallium nitride boules
US9385017B2 (en) 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US9275912B1 (en) 2012-08-30 2016-03-01 Soraa, Inc. Method for quantification of extended defects in gallium-containing nitride crystals
US9299555B1 (en) 2012-09-28 2016-03-29 Soraa, Inc. Ultrapure mineralizers and methods for nitride crystal growth
KR102037844B1 (ko) * 2013-03-12 2019-11-27 삼성전자주식회사 초임계 유체를 이용하는 기판 처리 장치, 이를 포함하는 기판 처리 시스템, 및 기판 처리 방법
EP3255661B1 (en) * 2013-03-12 2020-07-01 Samsung Electronics Co., Ltd. Substrate treatment method using supercritical fluid
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9650723B1 (en) 2013-04-11 2017-05-16 Soraa, Inc. Large area seed crystal for ammonothermal crystal growth and method of making
JP6526660B6 (ja) * 2013-08-12 2019-06-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
KR101821636B1 (ko) * 2013-08-28 2018-03-08 에이피시스템 주식회사 기판 안착 장치
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9416003B2 (en) * 2014-02-24 2016-08-16 Freescale Semiconductor, Inc. Semiconductor die with high pressure cavity
WO2015196149A1 (en) 2014-06-20 2015-12-23 Velo3D, Inc. Apparatuses, systems and methods for three-dimensional printing
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9662840B1 (en) 2015-11-06 2017-05-30 Velo3D, Inc. Adept three-dimensional printing
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10207454B2 (en) 2015-12-10 2019-02-19 Velo3D, Inc. Systems for three-dimensional printing
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10252335B2 (en) 2016-02-18 2019-04-09 Vel03D, Inc. Accurate three-dimensional printing
KR102306570B1 (ko) 2016-06-01 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 3d 낸드 응용들을 위한 터널 산화물의 고압 암모니아 질화
US10259044B2 (en) * 2016-06-29 2019-04-16 Velo3D, Inc. Three-dimensional printing and three-dimensional printers
US11691343B2 (en) 2016-06-29 2023-07-04 Velo3D, Inc. Three-dimensional printing and three-dimensional printers
US20180126460A1 (en) 2016-11-07 2018-05-10 Velo3D, Inc. Gas flow in three-dimensional printing
US20180186082A1 (en) 2017-01-05 2018-07-05 Velo3D, Inc. Optics in three-dimensional printing
EP3559307B1 (en) 2017-02-08 2022-08-03 Picosun Oy Deposition or cleaning apparatus with movable structure and method of operation
US10888925B2 (en) 2017-03-02 2021-01-12 Velo3D, Inc. Three-dimensional printing of three-dimensional objects
US20180281284A1 (en) 2017-03-28 2018-10-04 Velo3D, Inc. Material manipulation in three-dimensional printing
US10174438B2 (en) 2017-03-30 2019-01-08 Slt Technologies, Inc. Apparatus for high pressure reaction
US20180311707A1 (en) * 2017-05-01 2018-11-01 Lam Research Corporation In situ clean using high vapor pressure aerosols
KR102358561B1 (ko) * 2017-06-08 2022-02-04 삼성전자주식회사 기판 처리 장치 및 집적회로 소자 제조 장치
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
DE102017216962A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Mikromechanische Sensoranordnung
US10272525B1 (en) 2017-12-27 2019-04-30 Velo3D, Inc. Three-dimensional printing systems and methods of their use
US10144176B1 (en) 2018-01-15 2018-12-04 Velo3D, Inc. Three-dimensional printing systems and methods of their use
CN108580445A (zh) * 2018-06-29 2018-09-28 东莞塔菲尔新能源科技有限公司 一种动力电池顶盖的清洗装置及清洗方法
US11127606B1 (en) * 2018-07-26 2021-09-21 Seagate Technology Llc Cooling station with integrated isolation valves
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11466384B2 (en) 2019-01-08 2022-10-11 Slt Technologies, Inc. Method of forming a high quality group-III metal nitride boule or wafer using a patterned substrate
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
CN113412166B (zh) * 2019-02-12 2024-02-20 应用材料公司 用于清洁真空腔室的方法、用于真空处理基板的方法以及用于真空处理基板的设备
KR102012389B1 (ko) * 2019-04-03 2019-08-20 (주)에이이 로드 포트용 퍼지노즐 모듈
JP2022544339A (ja) 2019-07-26 2022-10-17 ヴェロ3ディー,インコーポレーテッド 三次元オブジェクトの形成における品質保証
CN110618377B (zh) * 2019-09-12 2020-08-11 中国科学院近代物理研究所 一种用于线路板测试的主副室结构靶室系统及其使用方法
DE102020200817B3 (de) * 2020-01-23 2021-06-17 Lpkf Laser & Electronics Aktiengesellschaft Montageverfahren für eine integrierte Halbleiter-Waver-Vorrichtung und dafür verwendbare Montagevorrichtung
US11721549B2 (en) 2020-02-11 2023-08-08 Slt Technologies, Inc. Large area group III nitride crystals and substrates, methods of making, and methods of use
JP2023513570A (ja) 2020-02-11 2023-03-31 エスエルティー テクノロジーズ インコーポレイテッド 改善されたiii族窒化物基板、その製造方法、並びにその使用方法
KR20230019295A (ko) * 2021-07-29 2023-02-08 세메스 주식회사 기판 처리 장치
US20230113063A1 (en) * 2021-10-11 2023-04-13 Applied Materials, Inc. Dynamic processing chamber baffle

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439261A (en) * 1983-08-26 1984-03-27 International Business Machines Corporation Composite pallet
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
WO1993020116A1 (en) 1992-03-27 1993-10-14 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
JP3183575B2 (ja) * 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
US5780553A (en) 1993-07-30 1998-07-14 University Of North Carolina At Chapel Hill Heterogeneous polymerizations in carbon dioxide
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
DE69523208T2 (de) 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
EP0726099B1 (en) 1995-01-26 2000-10-18 Texas Instruments Incorporated Method of removing surface contamination
JP3277114B2 (ja) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 陰画調レジスト像の作製方法
DE19506404C1 (de) 1995-02-23 1996-03-14 Siemens Ag Verfahren zum Freiätzen (Separieren) und Trocknen mikromechanischer Komponenten
JP2832173B2 (ja) 1995-05-31 1998-12-02 信越半導体株式会社 半導体基板の洗浄装置および洗浄方法
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (ko) 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5860467A (en) 1996-12-03 1999-01-19 The University Of North Carolina At Chapel Hill Use of CO2 -soluble materials in making molds
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6344243B1 (en) 1997-05-30 2002-02-05 Micell Technologies, Inc. Surface treatment
US6287640B1 (en) 1997-05-30 2001-09-11 Micell Technologies, Inc. Surface treatment of substrates with compounds that bind thereto
DE69840440D1 (de) 1997-05-30 2009-02-26 Micell Integrated Systems Inc Oberflächebehandlung
US6165560A (en) 1997-05-30 2000-12-26 Micell Technologies Surface treatment
US6001418A (en) 1997-12-16 1999-12-14 The University Of North Carolina At Chapel Hill Spin coating method and apparatus for liquid carbon dioxide systems
US6067728A (en) 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6208751B1 (en) 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6085762A (en) 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6113708A (en) 1998-05-26 2000-09-05 Candescent Technologies Corporation Cleaning of flat-panel display
US6343609B1 (en) 1998-08-13 2002-02-05 International Business Machines Corporation Cleaning with liquified gas and megasonics
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US6176895B1 (en) 1998-11-04 2001-01-23 Desimone Joseph M. Polymers for metal extractions in carbon dioxide
US6083565A (en) 1998-11-06 2000-07-04 North Carolina State University Method for meniscus coating with liquid carbon dioxide
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6576066B1 (en) 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
TW488010B (en) * 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
WO2001060534A1 (de) 2000-02-18 2001-08-23 Eco2 Sa Vorrichtung und verfahren zur präzisionsreinigung von stücken
AU2001253650A1 (en) 2000-04-18 2001-10-30 S. C. Fluids, Inc. Supercritical fluid delivery and recovery system for semiconductor wafer processing
WO2001082368A2 (en) 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
AU2001263231A1 (en) 2000-05-18 2001-11-26 S. C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
EP1309990A1 (en) 2000-08-14 2003-05-14 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6340936B1 (en) * 2000-08-18 2002-01-22 Mcgaffey John F. Accessible pedestrian signal system

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016500203A (ja) * 2013-02-20 2016-01-07 クックジェ エレクトリック コリア カンパニー リミテッド 乾式気相蝕刻装置
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP2020526925A (ja) * 2017-07-14 2020-08-31 マイクロマテリアルズ エルエルシー 高圧処理チャンバ用のガス供給システム
JP7368519B2 (ja) 2017-07-14 2023-10-24 マイクロマテリアルズ エルエルシー 高圧処理チャンバ用のガス供給システム
JP7033647B2 (ja) 2017-07-14 2022-03-10 マイクロマテリアルズ エルエルシー 高圧処理チャンバ用のガス供給システム
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
JP7112490B2 (ja) 2017-11-11 2022-08-03 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
JP2021502704A (ja) * 2017-11-11 2021-01-28 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
JP2023513796A (ja) * 2020-02-17 2023-04-03 アプライド マテリアルズ インコーポレイテッド 流動性間隙充填膜のためのマルチステッププロセス
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7433457B2 (ja) 2020-02-17 2024-02-19 アプライド マテリアルズ インコーポレイテッド 流動性間隙充填膜のためのマルチステッププロセス

Also Published As

Publication number Publication date
CN1675401A (zh) 2005-09-28
EP1549780A4 (en) 2007-10-03
WO2003107396A2 (en) 2003-12-24
US6846380B2 (en) 2005-01-25
WO2003107396A8 (en) 2005-04-07
TW200307976A (en) 2003-12-16
TWI296816B (en) 2008-05-11
AU2003249616A1 (en) 2003-12-31
AU2003249616A8 (en) 2003-12-31
US20030232512A1 (en) 2003-12-18
WO2003107396B1 (en) 2004-08-12
EP1549780A2 (en) 2005-07-06
WO2003107396A3 (en) 2004-06-17

Similar Documents

Publication Publication Date Title
JP2005530343A (ja) 基板加工装置及び関連するシステム及び方法
US7226512B2 (en) Load lock system for supercritical fluid cleaning
JP4621400B2 (ja) 半導体基板処理装置
EP0681317B1 (en) Method for cleaning semiconductor wafers using liquefied gases
US8323420B2 (en) Method for removing material from semiconductor wafer and apparatus for performing the same
US6748960B1 (en) Apparatus for supercritical processing of multiple workpieces
WO1996025760A1 (fr) Procede et machine de fabrication de semiconducteurs
EP0556193A4 (en) Method and apparatus for transferring articles between two controlled environments
CN110071040B (zh) 蚀刻方法
US7153388B2 (en) Chamber for high-pressure wafer processing and method for making the same
JPH08172120A (ja) 半導体装置の製造方法および搬送インターフェース装置
KR20050019129A (ko) 기판 처리 장치 및 기판 처리 방법
US20180105933A1 (en) Substrate processing apparatus and method for cleaning chamber
JP7145740B2 (ja) エッチング方法
JP4560040B2 (ja) ウエハ処理のためのチャンバおよび方法
JP4482912B2 (ja) シリコン・デバイスの耐食性チャネルの製造および試験の方法
JP2022190783A (ja) 成膜装置および成膜方法
EP0299248B1 (en) Processing apparatus and method
JP5669514B2 (ja) 処理設備、保守装置、および物品の製造方法
US6579408B1 (en) Apparatus and method for etching wafer backside
CN118056759A (zh) 用于清洁容器的方法和装置
CN116721944A (zh) 一种隔离槽刻蚀器及外延生长系统的运行方法
JP2009068599A (ja) 仕切弁及び処理装置
KR20060096541A (ko) 반도체 제조 장치에서의 아이솔레이션 밸브
KR20060107597A (ko) 반도체 설비의 진공 누설 방지용 오링

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081028

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090127

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090304

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090327

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100113