JP2023513796A - 流動性間隙充填膜のためのマルチステッププロセス - Google Patents

流動性間隙充填膜のためのマルチステッププロセス Download PDF

Info

Publication number
JP2023513796A
JP2023513796A JP2022549151A JP2022549151A JP2023513796A JP 2023513796 A JP2023513796 A JP 2023513796A JP 2022549151 A JP2022549151 A JP 2022549151A JP 2022549151 A JP2022549151 A JP 2022549151A JP 2023513796 A JP2023513796 A JP 2023513796A
Authority
JP
Japan
Prior art keywords
chamber
pressure
substrate
processing
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022549151A
Other languages
English (en)
Other versions
JP7433457B2 (ja
Inventor
マクシミリアン クレモンズ,
ニコラオス ベキアリス,
シュリニヴァス ディ. ネマニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023513796A publication Critical patent/JP2023513796A/ja
Application granted granted Critical
Publication of JP7433457B2 publication Critical patent/JP7433457B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Figure 2023513796000001
概して、本明細書に記載の例は、基板上に堆積された流動性間隙充填膜上の同じ処理チャンバ内でいくつかのプロセスを実行するための方法及び処理システムに関する。1つの例では、半導体処理システムは、処理チャンバと、システムコントローラとを含む。システムコントローラは、プロセッサとメモリとを含む。メモリは、プロセッサによって実行されると、システムコントローラに、流動性プロセスによって堆積された膜を有する基板上で実行される処理チャンバ内の第1のプロセスを制御することと、膜を有する基板上で実行される処理チャンバ内の第2のプロセスを制御することとを実行させる命令を記憶する。第1のプロセスは、安定化された膜を形成するために、膜中の結合を安定化させることを含む。第2のプロセスは、安定化された膜を高密度化することを含む。
【選択図】図2

Description

本明細書に記載の実施例は、概して、半導体処理の分野に関し、より具体的には、基板上の流動性間隙充填膜上で、例えば、同じ処理チャンバ内で、マルチステッププロセスを実行することに関する。
ナノメータ及びそれ以下のフィーチャ(feature)を確実に生産することは、半導体デバイスの次世代超大規模集積(VLSI)及び極超大規模集積(ULSI)のための技術課題の1つである。回路技術の限界が押し上げられるにつれて、VLSI及びULSI技術の寸法が縮小することにより、処理能力に対する要求が増しつつある。集積回路構成要素の寸法が縮小されるにつれて(例えば、ナノメートル寸法で)、構成要素を製造するために使用される材料及びプロセスは、概して、満足なレベルの電気性能を得るために慎重に選択される。
集積回路構成要素の寸法が縮小すると、構成要素間の間隙がますます小さくなる可能性がある。より大きな寸法で同様の間隙を充填するのに適していたいくつかのプロセスは、より小さな寸法で間隙を充填するのに適していないことがある。したがって、集積回路のデバイスの満足な性能を維持しつつ、より小さな寸法で複雑なデバイスを形成することができるプロセス及び処理システムが必要とされている。
例は、半導体処理システムを含む。半導体処理システムは、処理チャンバと、システムコントローラとを含む。システムコントローラは、プロセッサとメモリとを含む。メモリは、プロセッサによって実行されると、システムコントローラに、流動性プロセスによって堆積された膜を有する基板上で実行される処理チャンバ内の第1のプロセスを制御することと、膜を有する基板上で実行される処理チャンバ内の第2のプロセスを制御することとを実行させる命令を記憶する。第1のプロセスは、安定化された膜を形成するために、膜中の結合を安定化させることを含む。第2のプロセスは、安定化された膜を高密度化することを含む。
例はまた、半導体処理のための方法も含む。流動性プロセスによって堆積された膜を有する基板は、処理チャンバ内に移送される。第1のプロセスは、処理チャンバ内で、基板上の膜上において実行される。第1のプロセスは、安定化された膜を形成するために、膜中の結合を安定化させることを含む。第2のプロセスは、処理チャンバ内で、基板上の膜上において実行される。第2のプロセスは、安定化された膜を高密度化することを含む。
例は、プロセッサによって実行されると、コンピュータシステムに動作を実行させる命令を記憶する非一時的コンピュータ可読記憶媒体を更に含む。動作は、処理システムの処理チャンバ内で第1のプロセスを実行するために、処理システムを制御することと、処理チャンバ内で第2のプロセスを実行するために、処理システムを制御することとを含む。第1のプロセスは、流動性プロセスによって堆積された膜を有する基板上で実行される。第1のプロセスは、安定化された膜を形成するために、膜中の結合を安定化させることを含む。第2のプロセスは、安定化された膜を有する基板上で実行される。第2のプロセスは、安定化された膜を高密度化することを含む。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されているより詳細な説明が、実施例を参照することによって得られ、それらの実施例のいくつかが添付図面に示されている。しかしながら、添付の図面は、いくつかの例を示し、したがって、本開示の範囲を限定するものと見なされるべきではなく、本開示は、その他の等しく有効な例を許容しうることに留意されたい。
いくつかの実施例によるマルチチャンバ処理システムの概略上面図を示す。 いくつかの実施例による、処理のための高圧環境及び処理のための低圧環境を作り出すように構成されている多圧処理チャンバの概略図を示す。 実施例による多圧処理チャンバの例を示す。 実施例による多圧処理チャンバの例を示す。 実施例による多圧処理チャンバの例を示す。 実施例による多圧処理チャンバの例を示す。 いくつかの実施例による半導体処理のための方法のフローチャートである。 実施例による、図7の方法の一態様を示す中間半導体構造の断面図である。 実施例による、図7の方法の一態様を示す中間半導体構造の断面図である。 実施例による、図7の方法の一態様を示す中間半導体構造の断面図である。
理解を容易にするため、可能な場合には、図に共通する同一の要素を示すのに同一の参照番号を使用した。
概して、本明細書に記載の例は、基板上に堆積された流動性間隙充填膜上の同じ処理チャンバ内でいくつかのプロセスを実行するための方法及び処理システムに関する。いくつかのプロセスは、流動性プロセスによって堆積された膜中の結合を安定化させ、続いて膜を高密度化することを含みうる。
流動性膜上で本明細書に記載の例示的なプロセスを実施することにより、膜の品質を改善することができる。流動性フィルムは、一般的に、間隙、特に高アスペクト比の間隙(例えば、深さ対幅のアスペクト比が10:1より大きい)に流入する能力に使用される。流動性膜の品質は、一般に、密度が低く、不十分である。熱水浸漬を実施するプロセスを含む、流動性膜の品質を高めるよう、これまで試みられてきた。これらのプロセスは、異なるプロセスを各々が実施するいくつかのツール又は処理チャンバを使用して実施された。これらのプロセスを用いても、膜の品質は不十分なままでありうることが分かった。例えば、膜の湿式エッチング速度は、例えば膜全体の密度などの不均一性のために、膜のエッチングの深さに基づいて変化しうる。加えて、湿式エッチング速度は、変化しても、比較的高くなり、エッチング速度のいかなる偏差も結果に著しい差をもたらす可能性がある。これにより、間隙に異なる量の膜が残る可能性がある。本明細書に記載の例は、膜の品質を改善することができ、例えば、膜の密度を改善することができる。改善された密度は、より容易に制御することができ、エッチング速度からの偏差による結果の著しい差の影響を受けにくい、より均一でより低いエッチング速度を達成することができる。更に、そのような利点を達成するために、膜に対して実行される処理をより少なくし、処理及び待機時間を更に短縮することができる。処理及び待機時間を短縮することにより、最終製品を製造するためのコストを低減することができる。加えて、より高品質の膜は、用途によっては、改善された電気特性をもたらす可能性がある。これら及び/又は他の利益は、様々な例に従って達成されうる。
様々な異なる例を以下に記載する。本明細書では、基板上のフィン間に分離構造(例えば、シャロートレンチアイソレーション(STI))を形成する文脈で、いくつかの例を説明する。このような処理によって形成される分離構造は、例えば、フィン電界効果トランジスタ(FinFET)で実施することができる。これらの例は、様々な態様の理解のために提供される。その他の例は、異なる文脈で実施されうる。例えば、いくつかの例は、流動性プロセス(例えば、流動性化学気相堆積(FCVD)又はスピンオン)によって任意の下位の構造上に堆積された任意の膜で実施することができる。異なる例の複数の特徴は、プロセスフロー又はシステムにおいてまとめて説明されうるが、複数の特徴は各々、別個に、又は個別に、及び/又は異なるプロセスフロー若しくは異なるシステムにおいて実施されうる。加えて、様々なプロセスフローは、順に実行されるものとして説明されている。他の例は、異なる順序で、及び/又はより多い又はより少ない工程で、プロセスフローを実装することができる。
図1は、いくつかの実施例によるマルチチャンバ処理システム100の概略上面図を示す。一般に、マルチチャンバ基板処理システムは、高圧及び低圧などの異なる環境でプロセスを実行するように構成されている少なくとも1つの処理チャンバを含む。
処理システム100は、2つの移送チャンバ102、104と、移送チャンバ102、104内にそれぞれ配置された移送ロボット106、108と、移送チャンバ102、104のそれぞれに連結されて配置された処理チャンバ110、112、114、116、118、120と、第1の移送チャンバ102に連結されて配置された2つのガス抜きチャンバ122と、2つの移送チャンバ102、104の各々に連結され、かつ2つの移送チャンバ102と104との間に配置された通過チャンバ124と、コントローラ126とを含む。処理システム100は、ロードロックチャンバ128及びファクトリインターフェースモジュール130を更に含むことができる。
第1の移送チャンバ102は、隣接する処理チャンバ110、112、及びガス抜きチャンバ122とインターフェースで連結する中央真空チャンバである。第1の移送チャンバ102は、処理チャンバ110、112、ガス抜きチャンバ122、通過チャンバ124、及び2つのロードロックチャンバ128と連結される。処理チャンバ110、112及びガス抜きチャンバ122の各々は、それぞれのチャンバと第1の移送チャンバ102との間に配置された分離バルブを有する。また、通過チャンバ124及びロードロックチャンバ128は、それぞれのチャンバ124、128と第1の移送チャンバ102との間に配置されたそれぞれの分離バルブを有する。各分離バルブは、それぞれのチャンバが、第1の移送チャンバ102から流体的に分離され、かつ第1の移送チャンバ102に流体接続できるようにする。チャンバの分離バルブは、それぞれのチャンバが、例えば、第1の移送チャンバ102とは異なるレベルの圧力で動作できるようにし、それぞれのチャンバ内で使用される又はそれぞれのチャンバ内に導入される任意のガスが第1の移送チャンバ102内に導入されることを防止する。各ロードロックチャンバ128は、外部環境に対して開かれた、例えば、ファクトリインターフェースモジュール130に対して開かれたドアを有する。
第2の移送チャンバ104は、隣接する処理チャンバ114、116、118、120とインターフェースで連結する中央真空チャンバである。第2の移送チャンバ104は、処理チャンバ114、116、118、120及び通過チャンバ124に連結される。処理チャンバ114、116、118、120の各々は、それぞれのチャンバと第2の移送チャンバ104との間に配置された分離バルブを有する。通過チャンバ124はまた、それぞれのチャンバ124と第2の移送チャンバ104との間に配置されたそれぞれの分離バルブを有する。各分離バルブは、それぞれのチャンバが第2の移送チャンバ104から流体的に分離できるようにする。チャンバの分離バルブは、それぞれのチャンバが、例えば、第2の移送チャンバ104とは異なるレベルの圧力で動作できるようにし、それぞれのチャンバ内で使用されるか又はそれぞれのチャンバ内に導入される任意のガスが第2の移送チャンバ104内に導入されることを防止する。
第1の移送チャンバ102及び第2の移送チャンバ104は、冷却チャンバ又は予熱チャンバを備えうる通過チャンバ124によって分離される。また、通過チャンバ124は、第1の移送チャンバ102と第2の移送チャンバ104とが異なる圧力で動作する場合に、基板の取り扱い中ポンプダウン(pumped down)又は換気されてもよい。
図示されていないが、ガス及び圧力制御システム(例えば、複数の真空ポンプを含む)が、各移送チャンバ102、104、各通過チャンバ124、及び処理チャンバ及びガス抜きチャンバ110-122の各々と流体連結して配置され、それぞれのチャンバ内の圧力を独立して調節する。ガス及び圧力制御システムは、1つ又は複数のガスポンプ(例えば、ターボポンプ、クライオポンプ、粗引きポンプなど)、ガス源、種々のバルブ、及び種々のチャンバに流体連結された導管を含みうる。ガス及び圧力制御システムは、任意のチャンバをターゲット圧力に維持することができる。
処理システム100は、処理システム100の動作、プロセス、又は機能を制御するようにプログラムされるコントローラ126によって自動化される。コントローラ126は、基板を処理するために、処理システム100のチャンバのそれぞれに対して個々の動作を行うことができる。例えば、コントローラ126は、処理システム100のチャンバ102-124の直接制御を使用して、又はチャンバ102-124に関連するコントローラを制御することによって、処理システム100の動作を制御しうる。動作中、コントローラ126は、処理システム100の性能を調整するために、それぞれのチャンバからのデータ収集及びフィードバックを可能にする。コントローラ126は、一般に、プロセッサ132(例えば、中央処理装置(CPU)又は他のプロセッサ)、メモリ134、及びサポート回路136を含むことができる。プロセッサ132は、産業用設定において使用することができる汎用プロセッサの任意の形態のうちの1つでありうる。メモリ134(例えば、非一時的コンピュータ可読記憶媒体)は、プロセッサによってアクセス可能であり、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態のデジタルストレージ、ローカル又はリモートなどの1つ又は複数のメモリでありうる。サポート回路136は、プロセッサに連結することができ、キャッシュ、クロック回路、入力/出力サブシステム、電源などを備えうる。本明細書で開示される様々な方法は、一般に、例えばソフトウェアルーチンとして、メモリ136に記憶されたコンピュータ命令コードをプロセッサ132が実行することによって、プロセッサ132の制御下で実装されうる。コンピュータ命令コードがプロセッサ132によって実行されると、プロセッサ132は、様々な方法に従って、チャンバを制御して処理を実行し、及び/又はチャンバ内の処理を制御する。
基板(図示せず)は、ロードロックチャンバ128を通して処理システム100にロードされる。例えば、ファクトリインターフェースモジュール130が存在する場合、人間オペレータ又は自動化された基板ハンドリングシステムのいずれかから、1つ又は複数の基板、例えばウエハ、ウエハのカセット、又はウエハの封入ポッドを受容する役割を担うことになるだろう。ファクトリインターフェースモジュール130は、適用可能であれば、基板のカセット又はポッドを開き、基板をロードロックチャンバ128との間で移動させることができる。第1の移送チャンバ102は、ロードロックチャンバ128から基板を受け取り、基板は、通過チャンバ124を介することを含め、移送チャンバ102、104全体にわたって移送することができる。様々なチャンバ110-122は、移送チャンバ102、104から基板を受け取り、基板を処理し、基板を移送チャンバ102、104に戻すことができるようにする。
通常の動作では、基板がロードされたカセットはファクトリインターフェースモジュール130からドアを通ってロードロックチャンバ128内に配置され、ドアが閉じられる。次いで、ロードロックチャンバ128は、第1の移送チャンバ102と同じ圧力まで排気され、ロードロックチャンバ128と第1の移送チャンバ102との間の分離バルブが開放される。第1の移送チャンバ102内の移送ロボット106は、所定の位置に移動され、1つの基板がロードロックチャンバ128から取り外される。ロードロックチャンバ128は、好ましくは、1つの基板がカセットから取り外されるようにエレベータ機構を備えており、エレベータは、ウエハのスタックをカセット内で移動させ、別のウエハを移送面内に位置付けることにより、ロボットブレード上に位置付けることができるようにする。
次いで、第1の移送チャンバ102内の移送ロボット106は、基板が処理チャンバ位置と位置合わせされるように、基板と共に回転する。処理チャンバは、任意の有毒ガスが流され、移送チャンバと同じ圧力レベルにされ、処理チャンバと第1の移送チャンバ102との間の分離バルブが開かれる。次いで、移送ロボット106は、ウエハを処理チャンバ内に移動させ、そこで移送ロボット106から持ち上げられる。移送ロボット106は次に、処理チャンバから後退し、分離バルブが閉じられる。次いで、処理チャンバは、一連の動作を経て、ウエハ上で特定のプロセスを実行する。完了すると、処理チャンバは、第1の移送チャンバ102と同じ環境に戻され、分離バルブが開かれる。移送ロボット106は、処理チャンバからウエハを取り外し、次いで、別の動作のために別の処理チャンバに移動させ、第2の移送チャンバ104に移送するために通過チャンバ124に移動させるか、又はウエハのカセット全体が処理されたときに処理システム100から除去されるロードロックチャンバ128内に置き換えるかのいずれかである。
移送ロボット106が基板を通過チャンバ124に移動させる場合、第1の移送チャンバ102内の移送ロボット106は、基板が通過チャンバ124の位置と位置合わせされるように、基板と共に回転する。通過チャンバ124は、移送チャンバと同じ圧力レベルにされ、通過チャンバ124と第1の移送チャンバ102との間の分離バルブが開かれる。次いで、移送ロボット106は、ウエハを通過チャンバ124内に移動させ、そこで移送ロボット106から持ち上げられる。次いで、移送ロボット106は、通過チャンバ124から後退し、分離バルブが閉じられる。次いで、通過チャンバ124は、第2の移送チャンバ104と同じ環境(例えば、圧力を含む)にされうる。通過チャンバ124が第2の移送チャンバ104と同じ環境に戻されると、通過チャンバ124と第2の移送チャンバ104との間の分離バルブが開かれる。移送ロボット108は、通過チャンバ124からウエハを取り外し、分離バルブを閉じる。次いで、移送ロボット108は、別の動作のために、第2の移送チャンバ104に連結された別の処理チャンバに基板を移動させる。移送ロボット108は、第1の移送チャンバ102に連結された処理チャンバに基板を移動させる移送ロボット108に対して、上述したような第2の移送チャンバ104に連結された別の処理チャンバに基板を移動させることができる。
移送ロボット108は、次いで、基板が通過チャンバ124を通って第2の移送チャンバ104内に受け取られた動作の逆のシーケンスなどによって、第1の移送チャンバ102への移送のために、基板を通過チャンバ124に移動させることができる。第1の移送チャンバ102内の移送ロボット106は、基板を別の動作のために別の処理チャンバに移動させることができ、又はウエハのカセット全体が処理されたときに処理システム100から取り外されるロードロックチャンバ128内に置き換えることができる。移送ロボット106、108は、異なる処理チャンバ間で基板を支持し、移動させるロボットアーム107、109をそれぞれ含む。
処理チャンバ110-120は、任意の適切な処理チャンバとすることができ、又はそれを含むことができる。処理チャンバ110-120のうちの1つ又は複数は、チャンバ内の異なる圧力などの異なる環境を使用して、基板上で処理を実行するように構成されたチャンバである。様々な例を以下に記載する。処理チャンバ110-120のための他の例示的な処理チャンバは、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、反応性イオンエッチング(RIE)チャンバ、急速熱アニール(RTA)又は急速熱プロセス(RTP)チャンバなどを含む。
他の処理システムは、他の構成にすることができる。例えば、より多くの又はより少ない処理チャンバが、移送装置に連結されてもよい。図示された例では、移送装置は、移送チャンバ102、104と、通過チャンバ124とを含む。他の例では、より少ない若しくはより多い移送チャンバ、通過チャンバ、及び/又は1つ若しくは複数の保持チャンバが、処理システム内の移送装置として実装されうる。
図2は、基板を処理するための高圧環境と、基板を処理するための低圧環境とを作り出すように構成されている、多圧処理チャンバ200の概略図を示す。多圧処理チャンバ200は、第1のチャンバ202及び第2のチャンバ204を含む。第1のチャンバ202は、第2のチャンバ204内に配置され、内側チャンバと見なされてもよく、第2のチャンバ204は、外側チャンバと見なされてもよい。更に、以下の説明から明らかなように、第1のチャンバ202は、高圧処理を可能にするように構成することができ、更に、高圧チャンバと見なされうる。第1のチャンバ202及び第2のチャンバ204は、場合によっては、まとめて流体連結され、低圧処理ができるように構成されうる。第1のチャンバ202内の圧力は、第2のチャンバ204内の圧力とは独立して制御することができる。
制御された多圧処理チャンバ200は、ガス供給システム206、真空処理システム208、及びコントローラ210を更に含む。いくつかの例では、ガス供給システム206及び真空処理システム208は、図1の処理システム100のガス及び圧力制御システムの少なくとも一部である。いくつかの例では、処理システム100のコントローラ126は、コントローラ210でありうるか、又はこれを含みうる。
ガス供給システム206は、例えば、ガス流導管によって、第1のチャンバ202に流体的に連結され、第1のチャンバ202を加圧及び減圧するように動作可能である。第1のチャンバ202は、ガス供給システム206からプロセスガスを受け取り、高圧、例えば少なくとも1バールの圧力を確立する高圧処理チャンバである。プロセスガスは、酸素ガス(O)、オゾンガス(O)、亜酸化窒素(NO)、一酸化窒素(NO)、蒸気(HO)、アンモニアガス(NH)など、又はこれらの組合せであるか、又はこれらを含むことができる。ガス供給システム206は、第1のチャンバにプロセスガスを供給するために、例えば、コントローラ210によって、制御可能である、ガスパネル、導管、及びバルブの組み合わせを含むことができ、第1のチャンバは、多圧処理チャンバ200内で実行される異なるプロセスのための異なるプロセスガス組成物を有しうる。第1のチャンバ202を加圧するために、ガス供給システム206は、第1のチャンバ202にプロセスガスを導入する。ガス供給システム206は、第1のチャンバ202からプロセスガスを排気するための排気システム212を含むことができ、それによって第1のチャンバ202を減圧する。
いくつかの実施態様では、多圧処理チャンバ200は、遠隔プラズマ源(RPS)214を含む。そのような実施態様では、RPS214は、例えば、ガス流導管によって、ガス供給システム206に流体連結される。RPS214は、第1のチャンバ202に更に流体連結される。ガス供給システム206から流れるプロセスガスは、RPS214内のプラズマ内で点火されうる。RPS214内のプラズマからの廃水は、第1のチャンバ202に流入しうる。RPS214は、例えば、容量結合プラズマ源又は誘導結合プラズマ源とすることができる。
真空処理システム208は、例えば、ガス流導管によって、第2のチャンバ204に流体連結され、第2のチャンバ204の圧力を、真空又はほぼ真空圧力などの低圧に制御するように動作可能である。低圧は、例えば、10ミリトール程度の低圧でありうる。例えば、真空処理システム208は、第2のチャンバ204内の圧力を真空近くまで下げることによって、基板を処理するための適切な低圧環境を生成する。
第1のチャンバ202と第2のチャンバ204との間に、バルブアセンブリ216が配置され、第1のチャンバ202内の圧力を第2のチャンバ204内の圧力から分離するように構成される。したがって、第1のチャンバ202内の高圧環境は、第2のチャンバ204内の環境から分離され密閉されうる。バルブアセンブリ216は、第1のチャンバ202を第2のチャンバ204に流体接続するために、及び/又は基板が多圧処理チャンバ200から移送できるようにするために、開放可能である。
いくつかの実施態様では、多圧処理チャンバ200は、多圧処理チャンバ200に接続され、外部環境に接続されたフォアライン218を含む。分離バルブ220は、フォアライン218に沿って配置され、第2のチャンバ204内の圧力を外部環境の圧力から分離する。分離バルブ220は、第2のチャンバ204内の圧力を調整し、第2のチャンバ204内のガスを放出するように動作させることができる。分離バルブ220は、第2のチャンバ204内の圧力を調整するために、真空処理システム208と連動して動作させることができる。
一般に、基板は、多圧処理チャンバ200内の第1のチャンバ202内に配置されつつ、いくつかのプロセスによって処理されうる。例えば、基板は、第1のチャンバ202内のペデスタル(図示せず)に移すことができる。いくつかの例では、基板の第1のチャンバ202への移送は、バルブアセンブリ216を通して行うことができる。基板が第1のチャンバ202内のペデスタル上に配置されると、バルブアセンブリ216は、第1のチャンバ202の内部空間と第2のチャンバ204の内部空間とを流体連結して開いた状態を維持することができる。したがって、真空処理システム208は、バルブアセンブリ216が開いている間に、第1のチャンバ202及び第2のチャンバ204内の圧力をポンプダウンすることができる。よって、基板が第1のチャンバ202内のペデスタル上に配置されている間に、基板上で低圧処理を実行することができる。低圧処理は、ガス供給システム206から第1のチャンバ202内にプロセスガスを流すことを含むことができ、これは、真空処理システム208によって排気することができる。いくつかの例では、低圧処理は、RPS214内で点火されるプラズマを使用することを含みうる。
加えて、基板を第1のチャンバ202内のペデスタル上に配置した状態で、第1のチャンバ202の内部空間を第2のチャンバ204の内部空間から流体的に分離するために、バルブアセンブリ216を閉じることができる。ガス供給システム206は、バルブアセンブリ216を閉じた状態で、第1のチャンバ202内に高圧を作り出すことができる。したがって、基板が第1のチャンバ202内のペデスタル上に配置されている間に、基板上で高圧処理を行うことができる。高圧処理は、ガス供給システム206から第1のチャンバ202内にプロセスガスを流すことを含みうる。いくつかの例では、高圧処理は、RPS214内で点火されたプラズマを使用することを含みうる。
図3~図6は、基板を処理するための多圧処理チャンバの様々な例を示す。これらの多圧処理チャンバのチャンバの圧力は、図2に関して説明したものと類似のシステムを使用して制御することができる。
図3を参照すると、多圧処理チャンバ300は、第1のチャンバ302と、ペデスタル304と、第2のチャンバ306と、コントローラ(例えば、コントローラ126)とを含む。以下の説明から明らかなように、第1のチャンバ302は、第2のチャンバ306内に配置され、内側チャンバと見なされてもよく、第2のチャンバ306は、外側チャンバと見なされてもよい。更に、以下の説明から明らかなように、第1のチャンバ302は、高圧処理を可能にするように構成することができ、更に、高圧チャンバと見なされうる。第1のチャンバ302及び第2のチャンバ306は、場合によっては、まとめて流体連結され、低圧処理ができるように構成されうる。
多圧処理チャンバ300は、真空処理システム208と類似の真空処理システム(図示せず)と、図2に関して説明したガス供給システム206と類似のガス供給システム307とを更に含む。例えば、ガス供給システム307は、注入ライン307a及び排気ライン307bを含む。プロセスガスは、注入ライン307aを通って第1のチャンバ302に導入され、プロセスガスは、排気ライン307bを通って第1のチャンバ302から排気される。いくつかの例では、多圧処理チャンバ300はRPSを含むことができ、RPSから第1のチャンバ302に流れるプラズマ放出物のために注入ライン307aに連結されうる。
ペデスタル304は、膜が処理される基板314を支持する。ペデスタル304は、第1のチャンバ302内に位置付けられる又は位置付け可能である。いくつかの実施態様では、基板314は、ペデスタルの平坦な上面に直接位置する。いくつかの実施態様では、基板314は、ペデスタルから突出するピン330上に位置する。
多圧処理チャンバ300は、内壁320と、基部322と、外壁324とを含む。第1のチャンバ302は、内壁320及び基部322内の空間によって提供される。第2のチャンバ306は、内壁320内及び内壁320の外側、例えば内壁320と外壁324との間の空間によって提供される。
多圧処理チャンバ300は、図2のバルブアセンブリ216の機能を提供する、第1のチャンバ302と第2のチャンバ306との間のバルブアセンブリ316を更に含み、例えば、第1のチャンバ302を第2のチャンバ306から分離し、第1のチャンバ302と第2のチャンバ306とを流体的に連結するように操作されうる。例えば、バルブアセンブリ316は、内壁320、基部322、及び内壁320に対して基部322を移動させるためのアクチュエータ323を含む。アクチュエータ323は、基部322を駆動して、例えば、第1のチャンバ302を画定する内壁320から離れて又はこれに向かって垂直に移動するように制御されうる。第2のチャンバ306を外部雰囲気から密閉する一方で、基部322を垂直に移動可能にするために、ベローズ328を使用することができる。ベローズ328は、基部322の底部から、外壁324によって形成された第2のチャンバ306の床まで延びうる。
バルブアセンブリ316が閉位置にあるとき、基部322は、基部322と内壁320との間にシールが形成されるように、内壁320に接触し、したがって、第2のチャンバ306が第1のチャンバ302から分離される。アクチュエータ323は、シールを形成するのに十分な力で基部322を内壁320に向かって動かすように操作される。シールは、第1のチャンバ302からのガスが第2のチャンバ306内に排気されるのを阻止する。
バルブアセンブリ316が開位置にあるとき、基部322が、内壁320から離れて間隔をあけて配置されることによって、第1のチャンバ302と第2のチャンバ306との間でガスを伝導することができ、また、基板314にアクセスして別のチャンバに移送できるようにする。
ペデスタル304は、基部322上で支持されるので、従って、内壁320に対しても移動可能である。ペデスタル304は、基板314が移送ロボットによってより容易にアクセス可能になるように移動させることができる。例えば、移送ロボット106又は108(図1を参照)のアームは、外壁324を通って開孔326(例えば、スリット)を通って延びうる。バルブアセンブリ316が開位置にあるとき、ロボットアームは、内壁320と基部322との間の間隙を通過して、ペデスタル304上の基板314にアクセスすることができる。
いくつかの実施態様では、多圧処理チャンバ300は、基板314に熱を加えるように構成された1つ又は複数の加熱要素318を含む。加熱要素318からの熱は、例えば、基板314がペデスタル304上で支持され、プロセスガス(使用される場合)が第1のチャンバ302に導入されたときに基板314をアニールするのに十分でありうる。加熱要素318は、抵抗性の加熱要素でありうる。1つ又は複数の加熱要素318は、内壁320によって提供される第1のチャンバ302の天井内といった、第1のチャンバ302を画定する内壁320内に位置付けられ(例えば、埋め込まれ)うる。加熱要素318は、内壁320を加熱するように動作可能であり、放射熱を基板314に到達させる。基板314は、内壁320から基板314への熱の伝達を改善するために、天井に近接して(例えば2~10mm)ペデスタル304によって保持されうる。
1つ又は複数の加熱要素318は、多圧処理チャンバ300内の他の位置、例えば、天井ではなく側壁内に配置されてもよい。加熱要素318の一例は、個別の加熱コイルを含む。内壁に埋め込まれたヒータの代わりに又はこれに加えて、放射ヒータ、例えば赤外線ランプを第1のチャンバ302の外側に位置付け、内壁320の窓を通して赤外線を方向付けることができる。電気ワイヤは、電圧源などの電気源(図示せず)を加熱要素に接続し、1つ又は複数の加熱要素318をコントローラに接続することができる。
コントローラは、基板314を処理するための動作を制御するために、真空処理システム、ガス供給システム307、及びバルブアセンブリ316に動作可能に接続される。いくつかの実施態様では、コントローラはまた、他のシステムに動作可能に接続されうる。場合によっては、図1に示されるコントローラ126は、多圧処理チャンバ300のコントローラであるか又はこのコントローラを含む。
基板314の処理において、コントローラは、真空処理システムを動作させて、第2のチャンバ306を低圧まで減圧し、第2のチャンバ306を通した基板314の移送に備えることができる。基板314が、移送ロボット、例えば、移送ロボット106、108のうちの1つによって、開孔326及び第2のチャンバ306を通って移動する一方で、第2のチャンバ306は、基板314の汚染を阻止できるように、低圧である。
基板314は、処理のためにペデスタル304上に移送される。基板314をペデスタル304上に移送するために、コントローラは、バルブアセンブリ316を操作してバルブアセンブリ316を開き、基板314が第1のチャンバ302内及びペデスタル304上に移送されうる開口を提供することができる。コントローラは、基板314を第1のチャンバ302内に運び込み、基板314をペデスタル304上に配置するために、移送ロボットを動作させることができる。
基板314がペデスタル304上に移送された後に、コントローラは、バルブアセンブリを、低圧処理のために開くように又は高圧処理のために閉じるように動作させることができる。任意の順序の高圧処理及び低圧処理が実施されうる。いくつかの例では、基板は、低圧及び高圧処理を周期的に実行することによって処理することができる。
バルブアセンブリ316を閉じると、第1のチャンバ302の内部空間は、第2のチャンバ306の内部空間から分離される。バルブアセンブリ316を閉じると、第1のチャンバ302及び第2のチャンバ306内の圧力は、異なる値に設定することができる。コントローラは、第1のチャンバ302にプロセスガスを導入して第1のチャンバ302を加圧し、基板314を処理するために、ガス供給システム307を動作させることができる。プロセスガスの導入により、第1のチャンバ302内の圧力を例えば1バール以上に上昇させることができる。第1のチャンバ302内の処理は、高圧で行うことができる。実施される場合、高圧処理中に基板314を処理するために、プラズマ放出物は、RPSから第1のチャンバ302に導入されうる。
コントローラは、バルブアセンブリ316を動作させ、バルブアセンブリ316を開くことによって、第1のチャンバ302及び第2のチャンバ306を互いに流体連結させることができる。バルブアセンブリ316を開くと、第1のチャンバ302及び第2のチャンバ306内の圧力は等しくなりうる。コントローラは、真空処理システムを動作させて、第1のチャンバ302及び第2のチャンバ306を低圧にして、基板314を処理することができる。第1のチャンバ302及び第2のチャンバ306内の低圧は、例えば、10ミリトール程度の低圧とすることができる。したがって、第1のチャンバ302及び第2のチャンバ306における処理は、低圧でありうる。コントローラは、真空処理システムによって排気されうる第1のチャンバ302内にプロセスガスを導入して基板314を処理するように、ガス供給システム307を動作させることができる。実施される場合、低圧処理中に基板314を処理するために、プラズマ放出物は、RPSから第1のチャンバ302に導入されうる。
第1のチャンバ302内の高圧処理の後に、コントローラは、バルブアセンブリ316が開く前に、第1のチャンバ302を減圧するために、ガス供給システム307の排気システムを動作させることができる。圧力は、第1のチャンバ302と第2のチャンバ306との間の圧力差を最小限に抑えることができるように、低い圧力まで下げることができる。
加えて、基板を処理する間(例えば、バルブアセンブリ316を開閉し、及び/又は高圧又は低圧で)、コントローラは、異なる処理の間、同じ又は異なる温度で加熱要素318を動作させることができる。更に、コントローラは、任意の処理(例えば、高圧処理又は低圧処理)中に任意の適切なガスを流すようにガス供給システム307を動作させることができる。
多圧処理チャンバ300内の基板314の処理が完了すると、基板314は、移送ロボットを使用して、第1のチャンバ302から取り外されうる。第1のチャンバ302からの基板314の移送の準備のために、コントローラは、バルブアセンブリ316が開く前に、適宜、第1のチャンバ302を減圧するために、ガス供給システム307の排気システムを動作させることができる。特に、基板314が第1のチャンバ302から移送される前に、第1のチャンバ302からプロセスガスを排気して、第1のチャンバ302内の圧力を低下させることができる。
基板314が第1のチャンバ302から移送できるようにするために、コントローラは、バルブアセンブリ316を開くことができる。バルブアセンブリ316が開くと、基板314が移動して第2のチャンバ306内にかつ開孔326を通って移送される開口が提供される。特に、開いたバルブアセンブリ316は、基板314を第2のチャンバ306に、例えば第2のチャンバ306の低圧環境に、直接移送できるようにする。次に、コントローラは、移送ロボットを動作させ、基板314を処理システムの別のチャンバ、例えば処理システム100に移送することができる。例えば、基板314は、更なる処理のために適切な処理チャンバに、又は処理システムから基板を取り外すためにロードロックチャンバに移送される。
図4を参照すると、別の例において、多圧処理チャンバ400は、第1のチャンバ402と、ペデスタル404と、第2のチャンバ406と、コントローラ(図示せず)とを含む。第1のチャンバ402は、第2のチャンバ406内に配置され、内側チャンバと見なされてもよく、第2のチャンバ406は、外側チャンバと見なされてもよい。更に、第1のチャンバ402は、高圧処理を可能にするように構成することができ、更に、高圧チャンバと見なされうる。第1のチャンバ402及び第2のチャンバ406は、場合によっては、まとめて流体連結され、低圧処理ができるように構成されうる。多圧処理チャンバ400は、図3に関して説明した多圧処理チャンバ300に類似し、特に明記しない限り、様々なオプション及び実施態様も図4の例に適用可能である。
例えば、多圧処理チャンバ400のガス供給システム及び真空処理システムは、多圧処理チャンバ400を使用して処理される基板414に対する低圧及び高圧環境を維持するために、同様の方法で操作される。第2のチャンバ406は、内壁420と外壁424との間の空間によって画定することができる。加えて、基板414はまた、第1のチャンバ402内で処理するためにペデスタル404上で支持可能である。ここでも、基板414は、ペデスタル404上に直接位置することができ、又はペデスタルを通って延びるリフトピン430上に位置することができる。
この多圧処理チャンバ400は、図3の多圧処理チャンバ300と若干異なる点がある。まず、第1のチャンバ402を画定する内壁420は、第1のチャンバ402を画定する基部422に対して移動可能ではない。したがって、ペデスタル404は、内壁420及び基部422に対して固定される。いくつかの例では、ペデスタル404は、第1のチャンバ402を画定する基部422に固定される。
図3の例の1つ又は複数の加熱要素318の場合のように、第1のチャンバ402の内壁420に配置されるのではなく、図4に示される例の1つ又は複数の加熱要素418は、ペデスタル404内に配置される。したがって、基板414は、ペデスタル404との接触を通して加熱されうる。
多圧処理チャンバ400は、図3のバルブアセンブリ316と同様に、第1のチャンバ402を第2のチャンバ406から分離する、第1のチャンバ402と第2のチャンバ406との間のバルブアセンブリ416を更に含む。しかし、バルブアセンブリ316とは対照的に、バルブアセンブリ416は、第1のチャンバ402を画定する内壁420及び基部422によって形成されるのではなく、むしろ、第1のチャンバ402の内壁420に対して移動可能な1つ又は複数の構成要素を有するアームアセンブリ425を含む。
特に、バルブアセンブリ416は、アームアセンブリ425と、第1のチャンバ402及び第2のチャンバ406を分離して流体接続するように構成されたバルブドア423とを含む。開孔423aは、内壁420を通り、第1のチャンバ402と第2のチャンバ406との間にある。アームアセンブリ425のアーム425bが、内壁420を通して開孔423a内に位置付けられる一方で、バルブドア423は、第1のチャンバ402内に位置付けられる。バルドア423は、アームアセンブリ425の残りの部分から遠位の位置でアーム425bに接続される。図示されたように、アーム425bは、更に、外壁424を通って、開孔426を通って延び、アームアセンブリ425の残りの部分は、第2のチャンバ406の外側に位置付けられる。アームアセンブリ425は、また第2のチャンバ406の外側に位置付けられているアームアセンブリ425の駆動軸425aに接続されているアクチュエータ428によって駆動される。アクチュエータ428によって駆動される駆動軸425aの動きは、アームアセンブリ425によってアーム425bの動きに変換される。他の例では、アームアセンブリ425(例えば、駆動軸425aを含む)及びアクチュエータ428は、第2のチャンバ406内に位置付けられうる。
アームアセンブリ425は、開孔423aを通って延び、内壁420に対して移動可能であるため、バルブドア423は、内壁420とシールを形成する位置まで移動可能である。アクチュエータ428は、アームアセンブリ425の駆動軸425aを駆動し、これにより、駆動軸425aの駆動を、内壁420に対するアーム425bの動きに、かつ開孔423aが内壁420を通って延びる一般的な方向に変換する。この方向へのアーム425bの動きは、(例えば、アーム425bが後退した場合に)バルブドア423を内壁420に係合させることによって、内壁420とシールを形成し、第1のチャンバ402を第2のチャンバ406から分離しうる。そして、(例えば、アーム425bが延長される場合に)バルブドア423を内壁420から変位させることによって、第1のチャンバ402と第2のチャンバ406とを流体接続させうる。特に、バルブドア423は、内壁420の隣接する内面に実質的に平行に延びるアーム425bからのフランジでありうるか、又はそのフランジを含むみうる。
バルブアセンブリ316のように、バルブアセンブリ416は、開位置と閉位置との間で移動可能である。バルブアセンブリ416が閉位置にあるとき、アームアセンブリ425のアーム425bは、バルブドア423が開孔423aを覆い、内壁420のうちの1つに接触するように、側方に後退し、それによって、第1のチャンバ402を第2のチャンバ406から分離するためのシールを形成する。特に、アームアセンブリ425のアーム425bは、バルブドア423(例えば、フランジ)を、第1のチャンバ402を画定する内壁420の内面に接触させる。
バルブアセンブリ416が開位置にあるとき、アームアセンブリ425のアーム425bは、バルブドア423が内壁420、例えば内壁420の内面から側方に間隔を空けて配置されるように、側方に延ばされる。したがって、開孔423aは、第1のチャンバ402と第2のチャンバ406との間の流体連結を可能にする開口を提供する。
コントローラは、基板414を第1のチャンバ402内外に移送し、基板414を処理するために、多圧処理チャンバ300のコントローラに関して記載されたプロセスに類似した方法で、多圧処理チャンバ400を動作させることができる。このプロセスでは、バルブアセンブリ416を開閉するために、コントローラは、アクチュエータ428を動作させてアームアセンブリ425を駆動することができる。
図5を参照すると、更なる例において、多圧処理チャンバ500は、第1のチャンバ502と、ペデスタル504と、第2のチャンバ506と、コントローラ(図示せず)とを含む。多圧処理チャンバ500は、図4に関して説明した多圧処理チャンバ400に類似し、特に明記しない限り、様々なオプション及び実施態様もまた、この例に適用可能である。
例えば、多圧処理チャンバ500のガス供給システム及び真空処理システムは、多圧処理チャンバ500を使用して処理される基板(図示せず)に対する低圧及び高圧環境を維持するために、類似の方法で操作される。加えて、基板はまた、第1のチャンバ502内での処理のために、ペデスタル504又はリフトピン上でも支持可能である。
多圧処理チャンバ500は、ペデスタル504が、第1のチャンバ502を画定する基部522ではなく、第1のチャンバ502を画定する天井521に取り付けられる点で、図4の多圧処理チャンバ400と異なる。ペデスタル504と同様に、ペデスタル504は、壁520、天井521、及び基部522に対して固定される。加えて、多圧処理チャンバ500の1つ又は複数の加熱要素518が、ペデスタル504内に配置される。基板がペデスタル504上で支持されるように、基板をペデスタル504上に位置付けるために、基板は、ペデスタル504のプレート間に挿入される。基板がペデスタル504のプレートによって画定されるスロットに挿入されるとき、1つ又は複数の加熱要素518が基板に均一に熱を加えることができるように、1つ又は複数の加熱要素518がプレートに対して配置される。
図6を参照すると、更なる例において、多圧処理チャンバ600は、第1のチャンバ602と、ペデスタル604と、第2のチャンバ606と、コントローラ(図示せず)とを含む。多圧処理チャンバ600は、図4に関して説明した多圧処理チャンバ400に類似し、特に明記しない限り、様々なオプション及び実施態様もまた、この例に適用可能である。
例えば、多圧処理チャンバ600のガス供給システム及び真空処理システムは、多圧処理チャンバ600を使用して処理される基板614に対する低圧及び高圧環境を維持するために、同様の方法で操作される。加えて、基板614はまた、第1のチャンバ602内で処理するためにペデスタル604上で支持可能である。
多圧処理チャンバ600のバルブアセンブリ616のバルブドア623が、内壁620の内面ではなく、第1のチャンバ602を画定する内壁620の外面に接触して、内壁620内の開孔623aを覆う点で、多圧処理チャンバ600は、図4の多圧処理チャンバ400と異なる。バルブアセンブリ416と同様に、バルブアセンブリ616は、第1のチャンバ602を第2のチャンバ606から分離するように動作する。バルブアセンブリ616は、第1のチャンバ602と第2のチャンバ606との間に配置されうる。
バルブアセンブリ616は、第2のチャンバ606内に配置された、アームアセンブリ625と、バルブドア623とを含む。開孔623aは、内壁620を通り、第1のチャンバ602と第2のチャンバ606との間にある。バルブドア623は、第1のチャンバ602の外側に位置付けられる。アームアセンブリ625は、第1のチャンバ602の外側かつ第2のチャンバ606内に位置付けられる。アームアセンブリ625は、スリット626を貫通しない。
アームアセンブリ625のアーム625bは、バルブドア623が内壁620とシールを形成する位置に移動できるように、内壁620に対して移動可能である。例えば、多圧処理チャンバ600は、アームアセンブリ625を駆動するように動作可能なアクチュエータ628を含む。アクチュエータ628は、アームアセンブリ625の駆動軸625aに連結され、アームアセンブリ625のアーム625bを内壁620に対して移動させるように駆動するように構成される。
バルブアセンブリ316のように、バルブアセンブリ616は、開位置と閉位置との間で移動可能である。例えば、バルブアセンブリ616が閉位置にあるとき、アームアセンブリ625のアーム625bは、バルブドア623が開孔623aを覆う内壁620に接触するように側方に延びることによって、第1のチャンバ602を第2のチャンバ606から分離するためのシールが形成される。
バルブアセンブリ616が開位置にあるとき、アームアセンブリ625のアーム625bは、バルブドア623が開孔623aを覆っていない内壁620に接触しないように、側方に後退する。したがって、開孔623aは、第1のチャンバ602と第2のチャンバ606との間の流体連結を可能にする開口を提供する。
コントローラは、多圧処理チャンバ300のコントローラに関して記載されたプロセスと同様の方法で、多圧処理チャンバ600を動作させることができる。このプロセスでは、バルブアセンブリ616を開閉するために、コントローラは、アームアセンブリ625のアーム625bを駆動するためにアクチュエータ628を動作させることができる。
図7は、いくつかの実施例による半導体処理のための方法700のフローチャートである。図8から図10は、いくつかの例による、図7の方法700の態様を示す中間半導体構造の断面図である。本明細書に記載の例は、基板上のフィン間に分離構造(例えば、シャロートレンチアイソレーション(STI))を形成する状況におけるものである。当業者であれば、本明細書に記載の態様の他の状況への様々な適用を容易に理解するであろうし、そのような変形例は、他の例の範囲内で企図される。
図7のブロック702によれば、基板上のフィン上及びフィン間に流動性膜が堆積される。図8は、基板802上のフィン804上及びフィン804間に堆積された流動性膜808の断面図を示す。図8の構造を得るために、基板802が提供される。基板802は、バルク基板、半導体オンインシュレータ(SOI)基板などの任意の適切な半導体基板でありうる。いくつかの例では、基板802は、バルクシリコンウエハである。基板サイズの例は、とりわけ、直径200mm、直径350mm、直径400mm、及び直径450mmを含む。次いで、フィン804が基板802上に形成される。フィン804は、各フィン804が隣接する一対のフィーチャ(例えば、トレンチ806)間に画定されるように、基板802内に延びるトレンチ806などのフィーチャをエッチングすることによって形成することができる。フィーチャを形成するために、任意の適切なパターニングプロセスが実施されうる。パターニングプロセスは、フィン804間のターゲットピッチを達成するために、自己整合ダブルパターニング(SADP)、リソグラフィ‐エッチング‐リソグラフィ‐エッチング(LELE)ダブルパターニングなどの、マルチパターニングプロセスを含むことができる。トレンチ806をエッチングするための例示的なエッチングプロセスは、反応性イオンエッチング(RIE)プロセスなどを含む。各トレンチ806は、高アスペクト比を有するか、又は形成することができる。アスペクト比は、トレンチ806の深さ810対トレンチ806の幅812の比でありうる。アスペクト比は、10:1以上でありうる。いくつかの例では、拡散バリア層などの1つ又は複数の層がフィン804上に形成され、深さ810及び幅812は、最外層の外面から測定されうる。
次いで、流動性膜808が、トレンチ806内及びフィン804上に堆積される。流動性膜808は、いくつかの例では、FCVDプロセス又はスピンオンによって堆積されうる。例えば、FCVDプロセスでは、流動性膜808は、高濃度の窒素及び/又は水素を含むシリコン系誘電体でありうる。例えば、FCVDプロセスでは、前駆体は、トリシリルアミン(N(SiH)、水素(H)、窒素(N)、及び/又はアンモニア(NH)などの他のガスと混合されうる、HN(SiH)、HN(SiH、及びN(SiHなどのシリルアミン、シラン(SiH)、又は他の類似の前駆体であるか、又はこれらを含むことができる。流動性膜808の流動性は、流動性膜808が、高アスペクト比間隙(例えば、トレンチ806によって形成されうる)などにおいて、良好な間隙充填を提供可能にできる。
ブロック704によれば、堆積された流動性膜808をその上に有する基板802は、次いで、処理チャンバに移送される。処理チャンバは、図2~図7に関して上述したいずれかのような、多圧処理チャンバである。例えば、本明細書の文脈の例として、ブロック704の処理チャンバは、図3の多圧処理チャンバ300である。処理チャンバは、図1の処理システム100などの処理システムに含まれ得る。
例えば、基板802は、前方開口型統一ポッド(FOUP)によってファクトリインターフェースモジュール130に移送され、ファクトリインターフェースモジュール130において、基板802は、FOUPからロードロックチャンバ128に移送される。後続の移送及び処理は、例えば、処理システム100の外部の大気環境に基板802を曝露することなく、かつ処理システム100の移送装置内に維持される低圧又は真空環境を破壊することなく、処理システム100内で実行される。移送ロボット106は、基板802をロードロックチャンバ128から第1の移送チャンバ102に移送する。その後、基板802は、多圧処理チャンバ300が第1の移送チャンバ102に連結される場合には、移送ロボット106によって処理チャンバ(例えば、多圧処理チャンバ300)に移送され、又は多圧処理チャンバ300が第2の移送チャンバ104に連結される場合には、移送ロボット106によって通過チャンバ124に移送され、その後、移送ロボット108によって通過チャンバ124から多圧処理チャンバ300に移送されうる。いくつかの例では、流動性膜808の堆積は、処理システム内の処理チャンバにありうる。したがって、そのような例では、基板802は、流動性膜808の堆積前に処理システム100内に移送され、その後、処理システム100内で多圧処理チャンバ300に移送されうる。多圧処理チャンバ300のバルブアセンブリ316は開かれ、移送チャンバの移送ロボットは、上述したように、基板802をペデスタル304上に移送する。
ブロック706によれば、処理は、処理チャンバ内で実行され、ブロック708における第1のプロセスと、それに続くブロック710における第2のプロセスとが含まれる。ブロック710における第2のプロセスは、ブロック708における第1のプロセスとは異なる。他の例では、追加のプロセスが処理チャンバ内で実行されてもよい。
いくつかの例では、ブロック708での第1のプロセスは、より多くの結合及び/又は流動性膜中でより安定する結合を形成し、ブロック710での第2のプロセスは、安定化された膜を高密度化し、安定化された膜内でより安定した結合を更に生成しうる。したがって、ブロック708における第1のプロセスは、流動性膜を安定化させることを含み、ブロック710における第2のプロセスは、安定化された膜を高密度化することを含む。
いくつかの例では、ブロック708における第1のプロセス及びブロック710における第2のプロセスは、同じ圧力で行われても異なる圧力で行われてもよい。いくつかの例では、ブロック708における第1のプロセスは、ブロック710における第2のプロセスの圧力よりも低い圧力において行われる。いくつかの例では、ブロック710における第2のプロセスは、ブロック708における第1のプロセスが実行される処理チャンバ内の圧力よりも3桁以上(例えば、4桁以上)大きい処理チャンバ内の圧力において実行される。いくつかの例では、ブロック708における第1のプロセスは、ブロック710における第2のプロセスの圧力よりも大きい圧力で行われる。例として、第1のプロセスは、10ミリトール~100バールの範囲の圧力で実行することができ、第2のプロセスは、1バール以上、例えば5バール以上の圧力で実行することができる。
いくつかの例では、第1のプロセス及び第2のプロセスが実行される温度は等しいが、他の例では、温度は異なりうる。いくつかの例では、ブロック708における第1のプロセスの温度は、ブロック710における第2のプロセスの温度よりも低い。例として、ブロック708における第1のプロセスの温度は、300℃から1000℃の範囲内でありうる。ブロック710におけるプロセスの温度は、300℃から1000℃の範囲内でありうる。この温度は、ブロック708における第1のプロセスの温度に等しくても、それよりも低くても、又はそれよりも高くてもよい。例として、ブロック708における第1のプロセスの温度は、100℃から300℃の範囲内でありうる。ブロック710におけるプロセスの温度は、300℃から1000℃の範囲内でありうる。
いくつかの例では、ブロック708において第1のプロセスのために流されるプロセスガス組成物(例えば、単一のガス又はガスの混合物でありうる)は、ブロック710において第2のプロセスのために流されるプロセスガス組成物とは異なる。第1のプロセス及び第2のプロセスの例を以下に記載する。
いくつかの例では、ブロック708における第1のプロセスは、安定化プロセスであることに加えて、変換プロセスである。変換及び安定化プロセスは、流動性膜808を別の誘電体組成物を有するように変換する。例えば、変換プロセスは、FCVDプロセスによって堆積された高濃度の窒素及び/又は水素を含むシリコン系誘電体を酸化ケイ素に変換することができる。変換プロセスは、酸化プロセスでありうる。
いくつかの例では、酸化プロセスは、熱酸化プロセス又はプラズマ酸化プロセスである。熱酸化プロセスでは、酸素ガス(O)、オゾンガス(O)、亜酸化窒素(NO)、一酸化窒素(NO)、又はこれらの組み合わせなどの酸素含有プロセスガスを処理チャンバ内に流すことができる。酸素含有プロセスガスは、処理チャンバ内に連続的に流入させることができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流入させることができ、その後、酸化プロセス中に圧力が維持される。酸素含有プロセスガスの流量は、例えば、約5sccm~約200slmの範囲内でありうる。熱酸化プロセス中に、処理チャンバ内の圧力は、10ミリトールと100バールとの間の圧力に維持することができる。熱酸化プロセスは、300℃を超える温度、例えば約300℃~約1000℃の範囲内などで、実施することができる。プラズマ酸化プロセスでは、RPSにおいて、酸素ガス(O)、オゾンガス(O)、亜酸化窒素(NO)、一酸化窒素(NO)、又はこれらの組み合わせなどの酸素含有プロセスガスを用いてプラズマが点火される。酸素含有プラズマ放出物は、処理チャンバ内に流される。RPSのRF電源は、約2MHz~約40MHzの範囲内(13.56MHzなど)の周波数を有することができ、約50W~約3000Wの範囲内の電力を有することができる。プラズマ酸化プロセスにおけるガスの流れ、温度、及び圧力は、熱酸化について前述したものに同様でありうる。
いくつかの例では、ブロック708における第1のプロセスは、流動性膜の組成物に著しく影響を与えない安定化プロセスである。例えば、安定化プロセスは、FCVDプロセスによって堆積された高濃度の窒素及び/又は水素を含むシリコン系誘電体の組成物を実質的に維持することができる。
いくつかの例では、安定化プロセスは、熱プロセス又はプラズマプロセスである。熱プロセスでは、アンモニアガス(NH)などのアンモニア含有プロセスガスを処理チャンバ内に流すことができる。アンモニア含有プロセスガスは、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、熱プロセス中に圧力が維持される。アンモニア含有プロセスガスの流量は、例えば、約5sccm~約200slmの範囲内でありうる。熱プロセスの間に、処理チャンバ内の圧力は、10ミリトールと100バールとの間の圧力に維持されうる。熱プロセスは、300℃を超える温度、例えば、約300℃~約1000℃の範囲内などで、実行されうる。プラズマプロセスでは、アンモニアガス(NH)などのアンモニア含有プロセスガスを使用して、RPS内でプラズマが点火される。窒素含有プラズマ放出物及び/又は水素含有プラズマ放出物は、処理チャンバ内に流される。RPSのRF電源は、約2MHz~約40MHzの範囲内(13.56MHzなど)の周波数を有することができ、約50W~約3000Wの範囲内の電力を有することができる。プラズマプロセスにおけるガスの流れ、温度、及び圧力は、プラズマなしでの安定化について前述したものに同様でありうる。
ブロック708における第1のプロセスは、バルブアセンブリ316が、例えば、第1のプロセスが実行される圧力に応じて、開位置又は閉位置に留まる間に、多圧処理チャンバ300内で実行されうる。バルブアセンブリ316は、低圧処理のために開いたままにすることができ、又は高圧処理のために閉じることができる。酸素含有プロセスガス又はアンモニア含有プロセスガスは、ガス供給システム307を通して流され、真空処理システムによって第2のチャンバ306を通って排気することができる。加熱要素318は、第1のプロセス中に第1のチャンバ302内の温度を維持することができる。
いくつかの例では、ブロック710における第2のプロセスは、高密度化プロセスである。高密度化プロセスは、流動性膜808から安定化及び/又は変換された誘電材料の密度を増加させる。例えば、高密度化プロセスは、FCVDプロセスによって堆積された高濃度の窒素及び/又は水素を含むシリコン系誘電体から変換されたシリコン酸化物の密度を増加させることができる。高密度化プロセスは、更に、安定化された膜を別の誘電体組成物(例えば、酸化ケイ素)に変換しうる。高密度化プロセスは、Si-O-Si結合を形成する反応を触媒することができる。高密度化プロセスは、アニールプロセスでありうる。
いくつかの例では、アニールプロセスは、乾燥アニールプロセス又は蒸気アニールプロセスである。乾燥アニールプロセスは、300℃を超える温度、例えば約300℃~約1000℃の範囲内などで、実行することができる。乾燥アニールプロセスでは、アンモニアガス(NH)、亜酸化窒素(NO)、一酸化窒素(NO)等のプロセスガスを処理チャンバ内に流すことができる。プロセスガスは、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、乾燥アニールプロセス中に圧力が維持される。プロセスガスの流量は、例えば、約5sccm~約200slmの範囲内でありうる。乾燥アニールプロセスは、加えて、プロセスガスが亜酸化窒素(NO)及び/又は一酸化窒素(NO)などの酸素含有ガスを含む場合に、膜を更に変換するための酸化プロセスでありうる。乾燥アニールプロセスの間に、処理チャンバ内の圧力は、約1バールなど(約1バール以上、又はより具体的には約5バール以上など)の高圧に維持することができる。
蒸気アニールプロセスは、300℃を超える温度、例えば、約350℃~約1000℃の範囲内で、実行することができる。蒸気アニールプロセスでは、アンモニアガス(NH)、亜酸化窒素(NO)、一酸化窒素(NO)、又はこれらの組み合わせのような、別のプロセスガスの有無にかかわらず、蒸気(HO)を処理チャンバ内に流すことができる。プロセスガスの有無にかかわらず、蒸気は、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、蒸気アニールプロセス中に圧力が維持される。プロセスガスの有無にかかわらず、蒸気の流量は、例えば、約5sccm~約200slmの範囲内にありうる。蒸気アニールプロセスは、加えて、膜を更に変換するための酸化プロセスでありうる。蒸気アニールプロセスの間に、処理チャンバ内の圧力は、約1バールなど(約1バール以上、又はより具体的には約5バール以上など)の高圧に維持することができる。
ブロック710における第2のプロセスは、バルブアセンブリ316が、例えば、第1のプロセスが実行される圧力に応じて、開位置又は閉位置にある間に、多圧処理チャンバ300内で実行されうる。プロセスガス(例えば、蒸気を含む)は、ガス供給システム307を通って流れ、このガス供給システム307は、高圧を確立し維持することができる。加熱要素318は、第2のプロセス中に第1のチャンバ302内の温度を維持することができる。
更なる例では、ブロック708における第1のプロセスは、安定化プロセスに加えて変換プロセスである。変換及び安定化プロセスは、流動性膜808を、上記のような別の誘電体組成物を有するように変換する。変換プロセスは、酸化プロセスでありうる。いくつかの例では、酸化プロセスは、蒸気酸化プロセス又はプラズマ酸化プロセスである。蒸気酸化プロセスでは、蒸気(HO)を処理チャンバ内に流すことができる。蒸気は、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、蒸気酸化プロセス中に圧力が維持される。蒸気の流量は、例えば、約5sccm~約200slmの範囲内でありうる。蒸気酸化プロセス中に、処理チャンバ内の圧力は、5バール未満の圧力に維持することができる。蒸気酸化中の圧力は、ブロック710における第2のプロセスの圧力よりも低い。蒸気プロセスは、100℃を超える温度、例えば約100℃~約300℃の範囲内で、実行することができる。蒸気酸化中の温度は、ブロック710における第2のプロセスの温度未満である。プラズマ酸化プロセスでは、蒸気(HO)を用いてRPS中でプラズマを点火する。酸素含有プラズマ放出物及び/又は水素含有プラズマ放出物が、処理チャンバ内に流される。RPSのRF電源は、約2MHz~約40MHzの範囲内(13.56MHzなど)の周波数を有することができ、約50W~約3000Wの範囲内の電力を有することができる。プラズマ酸化プロセスにおける蒸気の流れ、温度、及び圧力は、蒸気酸化について前述したものに同様でありうる。
以下の表1は、いくつかの例によるプロセスの異なる組み合わせをまとめたものである。例は、表1のそれぞれの行内に含まれる。異なる組み合わせを実施することができる。第1及び第2のプロセスを繰り返すことなどによって、追加のプロセスを実行することができる。
Figure 2023513796000002
図9は、基板802上のフィン804上及びフィン804間の変換され高密度化された膜814(流動性膜808から変換された)の断面図を示す。いくつかの例では、流動性膜808は、高濃度の窒素及び/又は水素を含むシリコン系誘電体から、高密度化された二酸化ケイ素に変換される。このような例では、変換され高密度化された膜814は、高密度化された酸化ケイ素であり、元々堆積された流動性膜808とは異なる材料組成物である。
図7のブロック712によれば、ブロック706で処理された膜がエッチングされる。いくつかの例では、ブロック706の処理の後に、基板802は、処理チャンバが連結される移送チャンバの移送ロボットによって処理チャンバから取り外される。例えば、基板802は、上述のように、多圧処理チャンバ300の第1のチャンバ302から取り外すことができる。基板802は、処理システム100内の別の処理チャンバに、又は別の処理システムの処理チャンバに移送することができる。エッチングは、任意の適切なエッチングプロセスによって行うことができる。いくつかの例では、エッチングプロセスは湿式エッチングであるが、ドライエッチングが実施されてもよい。更に、湿式エッチングは、希フッ化水素酸(dHF)を使用することができ、これは、酸化ケイ素に対して選択的でありうる。いくつかの例では、変換され高密度化された酸化ケイ素膜をエッチングするために、100:1のdHF溶液が実施された。ブロック706のエッチングのエッチング速度は、より均一かつより低くすることができ、上記のように、より容易に制御することができる。
図10は、例えば、変換及び高密度化された膜814をエッチングした後に、基板802上のフィン804間のトレンチ806において、変換及び高密度化された膜814で共に形成された分離構造(例えば、STI)の断面図を示す。エッチングプロセスの結果として、フィン804は、隣接する分離構造間から突出する。分離構造の上面(例えば、変換及び高密度化された膜814の上面)は、フィン804の上面から任意の深さまで窪みうる。図10の図は、単なる例である。
フィン804は、その間に分離構造を有し、その後、任意の適切なデバイス構造を形成するために使用することができる。例えば、フィン804は、FinFETを形成するために使用することができる。ゲート構造は、フィン804上及び長手方向に直角に形成することができる。ゲート構造は、フィンの表面に沿ったゲート誘電体(例えば、高誘電率ゲート誘電体)と、ゲート誘電体上の1つ又は複数の仕事関数調整層と、1つ又は複数の仕事関数調整層上の金属充填物(metal fill)とを含むことができる。ゲート構造は、ゲート構造の下にあるそれぞれのフィン804内のチャネル領域を画定することができる。ソース/ドレイン領域(例えば、エピタキシャルソース/ドレイン領域)は、チャネル領域の対向する両側のフィンに形成することができる。ゲート構造、チャネル領域、及びソース/ドレイン領域は、まとまりFinFETを形成することができる。
上記は、本開示の様々な例を対象とするが、他の例及び更なる例が、本開示の基本的な範囲から逸脱することなく考案されてもよく、その範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 半導体処理システムであって、
    処理チャンバと、
    プロセッサとメモリとを備えるシステムコントローラと
    を備え、前記メモリは、前記プロセッサによって実行されると、前記システムコントローラに、
    流動性プロセスによって堆積された膜を有する基板上で実行される第1のプロセスを前記処理チャンバ内で制御することであって、前記第1のプロセスが、安定化された膜を形成するために前記膜内の結合を安定化させることを含む、第1のプロセスを制御することと、
    前記膜を有する前記基板上で実行される第2のプロセスを前記処理チャンバ内で制御することであって、前記第2のプロセスが、前記安定化された膜を高密度化することを含む、第2のプロセスを制御することと
    を実行させる命令を記憶する、半導体処理システム。
  2. 前記第1のプロセスが、第1の圧力で実行され、
    前記第2のプロセスが、前記第1の圧力よりも大きい第2の圧力で実行される、請求項1に記載の半導体処理システム。
  3. 前記第1のプロセスが、第1のプロセスガス組成物を流すことを含んで実行され、
    前記第2のプロセスが、前記第1のプロセスガス組成物とは異なる第2のプロセスガス組成物を流すことを含んで実行される、請求項1に記載の半導体処理システム。
  4. 前記第1のプロセスが、第1の温度で実行され、
    前記第2のプロセスが、前記第1の温度よりも高い第2の温度で実行される、請求項1に記載の半導体処理システム。
  5. 前記第1のプロセスが、前記膜を異なる組成物に変換することを更に含む、請求項1に記載の半導体処理システム。
  6. 前記第1のプロセスが、酸素、オゾン、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第1のプロセスガスを流すことを含んで実行され、
    前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項1に記載の半導体処理システム。
  7. 前記第1のプロセスが、アンモニアを含む第1のプロセスガスを流すことを含んで実行され、
    前記第2のプロセスが、蒸気、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項1に記載の半導体処理システム。
  8. 前記第1のプロセスが、蒸気を含む第1のプロセスガスを流すことを含んで実行され、かつ第1の圧力及び第1の温度で実行され、
    前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行され、かつ前記第1の圧力よりも高い第2の圧力及び前記第1の温度よりも高い第2の温度で実行される、請求項1に記載の半導体処理システム。
  9. 前記処理チャンバに流体連結された遠隔プラズマ源を更に備え、前記命令は、前記プロセッサによって実行されると、前記システムコントローラに、前記第1のプロセス、前記第2のプロセス、又は前記第1のプロセスと前記第2のプロセスとの両方の間に、前記遠隔プラズマ源内のプラズマを点火させる、請求項1に記載の半導体処理システム。
  10. 半導体処理のための方法であって、
    流動性プロセスによって堆積された膜を有する基板を処理チャンバ内に移送することと、
    前記処理チャンバ内で、前記基板上の前記膜上において、第1のプロセスを実行することであって、前記第1のプロセスが、安定化された膜を形成するために、前記膜内の結合を安定化させることを含む、第1のプロセスを実行することと、
    前記処理チャンバ内で、前記基板上の前記膜上において、第2のプロセスを実行することであって、前記第2のプロセスが前記安定化された膜を高密度化することを含む、第2のプロセスを実行することと
    を含む、方法。
  11. 前記第1のプロセスが、第1の圧力で実行され、
    前記第2のプロセスが、前記第1の圧力よりも大きい第2の圧力で実行される、請求項10に記載の方法。
  12. 前記第1のプロセスを実行することが、第1のプロセスガス組成物を流すことを含み、
    前記第2のプロセスを実行することが、前記第1のプロセスガス組成物とは異なる第2のプロセスガス組成物を流すことを含む、請求項10に記載の方法。
  13. 前記第1のプロセスを実行することが、前記膜を異なる組成物に変換すること含む、請求項10に記載の方法。
  14. 前記第1のプロセスが、酸素、オゾン、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第1のプロセスガスを流すことを含んで実行され、
    前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項10に記載の方法。
  15. 前記第1のプロセスが、アンモニアを含む第1のプロセスガスを流すことを含んで実行され、
    前記第2のプロセスが、蒸気、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項10に記載の方法。
  16. 前記第1のプロセスが、蒸気を含む第1のプロセスガスを流すことを含んで実行され、かつ第1の圧力及び第1の温度で実行され、
    前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行され、かつ前記第1の圧力よりも高い第2の圧力及び前記第1の温度よりも高い第2の温度で実行される、請求項10に記載の方法。
  17. 非一時的コンピュータ可読記憶媒体であって、プロセッサによって実行されると、コンピュータシステムに、
    処理システムの処理チャンバ内で第1のプロセスを実行するために、前記処理システムを制御することであって、前記第1のプロセスが、流動性プロセスによって堆積された膜を有する基板上で実行され、かつ安定化された膜を形成するために、前記膜内の結合を安定化させることを含む、前記処理システムを制御することと、
    前記処理チャンバ内で第2のプロセスを実行するために、前記処理システムを制御することであって、前記第2のプロセスが、前記安定化された膜を有する前記基板上で実行され、かつ前記安定化された膜を高密度化することを含む、前記処理システムを制御することと
    を含む動作を実行させる命令を記憶する非一時的コンピュータ可読記憶媒体。
  18. 前記第1のプロセスが、第1のプロセスガス組成物を流すことで実行され、
    前記第2のプロセスが、前記第1のプロセスガス組成物とは異なる第2のプロセスガス組成物を流すことで実行される、請求項17に記載の非一時的コンピュータ可読記憶媒体。
  19. 前記第1のプロセスが、第1の圧力で実行され、
    前記第2のプロセスが、前記第1の圧力よりも大きい第2の圧力で実行される、請求項17に記載の非一時的コンピュータ可読記憶媒体。
  20. 前記第1のプロセスが、前記膜を異なる組成物に変換することを更に含む、請求項17に記載の非一時的コンピュータ可読記憶媒体。
JP2022549151A 2020-02-17 2021-01-26 流動性間隙充填膜のためのマルチステッププロセス Active JP7433457B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/792,646 US11901222B2 (en) 2020-02-17 2020-02-17 Multi-step process for flowable gap-fill film
US16/792,646 2020-02-17
PCT/US2021/014991 WO2021167754A1 (en) 2020-02-17 2021-01-26 Multi-step process for flowable gap-fill film

Publications (2)

Publication Number Publication Date
JP2023513796A true JP2023513796A (ja) 2023-04-03
JP7433457B2 JP7433457B2 (ja) 2024-02-19

Family

ID=77273564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022549151A Active JP7433457B2 (ja) 2020-02-17 2021-01-26 流動性間隙充填膜のためのマルチステッププロセス

Country Status (6)

Country Link
US (2) US11901222B2 (ja)
JP (1) JP7433457B2 (ja)
KR (1) KR20220143082A (ja)
CN (1) CN115104176A (ja)
TW (1) TW202139389A (ja)
WO (1) WO2021167754A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers

Family Cites Families (606)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
DE69233222T2 (de) 1991-05-28 2004-08-26 Trikon Technologies Ltd., Thornbury Verfahren zum Füllen eines Hohlraumes in einem Substrat
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
US6929784B1 (en) 1999-03-04 2005-08-16 Surface Technology Systems Plc Chlorotrifuorine gas generator system
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6387764B1 (en) 1999-04-02 2002-05-14 Silicon Valley Group, Thermal Systems Llc Trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2003243374A (ja) 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
AU2003275239A1 (en) 2002-09-30 2004-04-23 Miasole Manufacturing apparatus and method for large-scale production of thin-film solar cells
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
JP4895803B2 (ja) 2003-02-04 2012-03-14 アプライド マテリアルズ インコーポレイテッド 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
CN100408902C (zh) 2003-05-13 2008-08-06 应用材料股份有限公司 密封一处理室一开口的方法与装置
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
TW200527491A (en) 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006098101A1 (ja) 2005-03-16 2006-09-21 Nec Corporation 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
WO2007043383A1 (ja) 2005-10-07 2007-04-19 Nikon Corporation 微小構造体およびその製造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP2009516388A (ja) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
JP2010525530A (ja) 2007-04-30 2010-07-22 アイファイアー・アイピー・コーポレーション 厚膜誘電性エレクトロルミネセントディスプレイ用の積層厚膜誘電体構造
JP2010528475A (ja) 2007-05-25 2010-08-19 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造システムを組み立てる及び運転する方法及び装置
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
WO2008156687A1 (en) 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8668868B2 (en) 2007-10-26 2014-03-11 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
EP3573092B1 (en) 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) * 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
KR20110129392A (ko) 2009-02-15 2011-12-01 자콥 우드러프 균형 전구체(들)로부터 형성된 태양전지 흡수제층
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
KR101841236B1 (ko) 2009-04-03 2018-03-22 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
CN102473748B (zh) 2009-07-01 2014-08-20 三菱电机株式会社 薄膜太阳能电池及其制造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (ja) 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
KR101995704B1 (ko) 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR20130055607A (ko) 2010-04-23 2013-05-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
WO2013001482A1 (en) 2011-06-28 2013-01-03 Dynamic Micro Systems Semiconductor stocker systems and methods.
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
WO2013009505A2 (en) 2011-07-13 2013-01-17 Applied Materials, Inc. Methods of manufacturing thin film transistor devices
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
CN103999198B (zh) 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
WO2013083129A1 (en) 2011-12-08 2013-06-13 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
WO2013129701A1 (ja) 2012-03-02 2013-09-06 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101750633B1 (ko) 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US20150357232A1 (en) 2013-01-22 2015-12-10 Ps4 Luxco S.A.R.L. Method for manufacturing semiconductor device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
CN105164799B (zh) 2013-03-15 2020-04-07 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
CN105453230B (zh) 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
SG11201600447YA (en) 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
TWI523222B (zh) 2013-10-14 2016-02-21 國立交通大學 含氮化鎵之半導體結構
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
WO2015095803A1 (en) 2013-12-22 2015-06-25 Applied Materials, Inc. Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9338834B2 (en) 2014-01-17 2016-05-10 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for microwave-radiation annealing
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
EP3155650A4 (en) 2014-06-16 2018-03-14 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102079501B1 (ko) 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9613859B2 (en) 2015-01-09 2017-04-04 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
JP6585724B2 (ja) 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI723993B (zh) 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
WO2016196105A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US9711449B2 (en) 2015-06-05 2017-07-18 Tokyo Electron Limited Ruthenium metal feature fill for interconnects
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
FR3042400A1 (fr) 2015-10-15 2017-04-21 Essilor Int Dispositif de test du comportement visuel d'un individu et methode de determination d'au moins un parametre de conception optique d'une lentille ophtalmique utilisant un tel dispositif
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102513161B1 (ko) 2016-03-11 2023-03-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 복합체 및 트랜지스터
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018183287A1 (en) 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR20230146121A (ko) 2017-04-21 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
KR20230130177A (ko) * 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
KR102306675B1 (ko) 2017-05-19 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 액체 및 고체 유출물의 수집 및 가스 유출물로의 후속 반응을 위한 장치
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
CN111656510A (zh) 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Also Published As

Publication number Publication date
US20210257252A1 (en) 2021-08-19
US20240128121A1 (en) 2024-04-18
CN115104176A (zh) 2022-09-23
TW202139389A (zh) 2021-10-16
WO2021167754A1 (en) 2021-08-26
US11901222B2 (en) 2024-02-13
JP7433457B2 (ja) 2024-02-19
KR20220143082A (ko) 2022-10-24

Similar Documents

Publication Publication Date Title
CN110678959B (zh) 氮化硅膜的高压处理
US8043933B2 (en) Integration sequences with top surface profile modification
US7972933B2 (en) Method of selective nitridation
TWI803479B (zh) 金屬氮化物膜的選擇性蝕刻
JP2012216631A (ja) プラズマ窒化処理方法
JPWO2009099252A1 (ja) 絶縁膜のプラズマ改質処理方法
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
KR20090027162A (ko) 제어된 결정 구조를 갖는 다층 실리콘막들 및 도펀트들의 이용을 통한 다결정성 폴리실리콘 막들 및 주변층들의 변형
TW201017767A (en) Post oxidation annealing of low temperature thermal or plasma based oxidation
US20240128121A1 (en) Multi-step process for flowable gap-fill film
CN108701599B (zh) 基板处理方法
US20210175075A1 (en) Oxygen radical assisted dielectric film densification
KR102092760B1 (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
TW202416418A (zh) 用於可流動間隙填充膜的多步驟處理
TWI839600B (zh) 低溫無蒸汽氧化物間隙填充
JP7209567B2 (ja) エッチング方法およびエッチング装置
JP7153499B2 (ja) 酸素含有被処理体の処理方法及び処理装置
TW202140837A (zh) 低溫無蒸汽氧化物間隙填充
CN116918070A (zh) 具有偶极膜的mosfet栅极工程
JP2008182194A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230725

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240206

R150 Certificate of patent or registration of utility model

Ref document number: 7433457

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150