CN105453230B - 用六氟化钨(wf6)回蚀进行钨沉积 - Google Patents

用六氟化钨(wf6)回蚀进行钨沉积 Download PDF

Info

Publication number
CN105453230B
CN105453230B CN201480043646.6A CN201480043646A CN105453230B CN 105453230 B CN105453230 B CN 105453230B CN 201480043646 A CN201480043646 A CN 201480043646A CN 105453230 B CN105453230 B CN 105453230B
Authority
CN
China
Prior art keywords
tungsten
substrate
layer
tungsten film
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480043646.6A
Other languages
English (en)
Other versions
CN105453230A (zh
Inventor
吴凯
柳尚澔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105453230A publication Critical patent/CN105453230A/zh
Application granted granted Critical
Publication of CN105453230B publication Critical patent/CN105453230B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

本文所述的实施方式大体涉及用于使用气相沉积工艺在基板上形成钨材料的方法。该方法包括以下步骤:将具有形成于基板中的特征的基板定位在基板处理腔室中;通过将含氢气体及卤化钨化合物的连续流引入至处理腔室以在特征之上沉积第一钨膜来沉积整体钨层的第一膜;通过将此第一膜暴露于卤化钨化合物及经活化的处理气体的连续流使用等离子体处理来蚀刻整体钨层的第一膜,以移除第一膜的部分;及通过将含氢气体及卤化钨化合物的连续流引入至处理腔室以在第一钨膜之上沉积第二钨膜来沉积整体钨层的第二膜。

Description

用六氟化钨(WF6)回蚀进行钨沉积
背景
技术领域
本文所述实施方式大体涉及基板的处理,更特定言之,涉及用于使用气相沉积工艺在基板上形成钨材料的方法。
现有技术描述
可靠地生产纳米尺寸的特征是下一代半导体器件的关键技术之一。缩小的电路及器件尺寸对处理能力寄予额外的要求。位于集成电路技术核心的多级互连需要高深宽比特征(诸如过孔(via)及其他互连)的精确处理。可靠形成这些互连对于将来的成功及用以增大电路密度和提高单个基板质量的后续努力而言都非常重要。
形成于基板上的特征的金属化包括诸如钨之类的金属的化学气相沉积(ChemicalVapor deposition;CVD)。可将钨用于源极触点(source contact)、漏极触点(draincontact)的金属填充、金属栅极填充与栅极触点(gate contact)以及动态随机存取存储器(Dynamic Random Access Memory;DRAM)及闪存中的应用。随着技术节点减少,器件特性及与随后工艺的整合都需要具有低电阻率及低粗糙度的钨膜。
化学气相沉积(CVD)是用于钨的金属填充的一种工艺技术。在下层的层间介电(interlayer dielectric;ILD)材料10中蚀刻图案。然后处理钨,以填充经蚀刻的基板。
但是特征尺寸的连续减小已意味着在此工艺中难度不断增大。当在侧壁以及特征的底表面上形成钨层时,CVD工艺将在特征中的两个表面上沉积金属。对于高深宽比特征,如可在表示CVD期间的钨沉积生长结果的图1中所见,在自下而上的(bottom up)填充工艺达到介电层厚度的全高度(full height)以使用大体上无空隙的钨填充材料来充分填充特征之前,特征的开口(在新一代器件中,标称(nominal)特征缝隙开口尺寸在32nm及更小的范围之内(由此特征(或凹部)在介电材料层的表面中所建立(create)的缝隙可为32nm或更小))可变为“闭口(closed off)”27。在特征的较下部分已完全从特征底表面生长之前,侧壁上的钨生长倾向于封闭特征开口处的特征,这导致在特征中形成空隙30。空隙30的存在改变了互连特征的材料及操作特性且可能最终引起器件的误操作及早期故障(prematurebreakdown)。导电元件、接线承载当前状态下的这些技术器件中、为本领域技术人员所创建且已知的接近其实际最大电流密度以便实现高效。目标是在未来的器件中于较小特征中达到相同的电流密度或更高的电流密度。
因此,需要在无上文讨论的传统技术中的限制及问题的情况下使用CVD以用于利用钨来无空隙填充高深宽比的超小特征。
发明内容
本文所述的实施方式大体涉及基板的处理,更特定言之,涉及用于使用气相沉积工艺在基板上形成钨材料的方法。在一个实施方式中,提供了用于在基板上沉积钨膜的方法。此方法包括以下步骤:将具有形成于基板中的特征的基板定位在基板处理腔室中,其中所述特征由至少一个侧壁及底表面来界定;通过将卤化钨化合物和含氢气体的连续流引入至所述处理腔室以在所述特征之上沉积第一钨膜来沉积整体钨层(bulk tungsten layer)的第一膜;通过将所述第一膜暴露于经活化的处理气体和所述卤化钨化合物的连续流而使用等离子体处理来蚀刻所述整体钨层的第一膜,以移除所述第一膜的部分;以及通过将所述卤化钨化合物和所述含氢气体的连续流引入至处理腔室以在第一钨膜之上沉积第二钨膜来沉积所述整体钨层的第二膜。
附图简要说明
因此,以可详细理解本公开内容的上述特征的方式,通过参考实施方式可获得上文简要概述的本公开内容的更详细的描述,这些实施方式中的一些实施方式图示于附图中。然而,应注意的是,附图仅图示了本公开内容的典型实施方式,且附图因此并不被视为对本公开内容的范围的限制,因为本公开内容可允许其他等效实施方式。
图1(现有技术)是具有使用现有技术工艺沉积于特征中的钨的特征的基板的示意剖视图;
图2是可用于根据本文所述的实施方式来沉积钨层的等离子体增强化学气相沉积(plasma enhanced CVD;PECVD)处理腔室的示意剖视图;
图3是描绘用于根据本文所述的实施方式来沉积钨填充层的方法的流程图;
图4A至图4F是具有根据本文所述的实施方式沉积于特征中的钨的特征的基板的示意剖视图;以及
图5是可用于执行本文所述的实施方式的群集工具的平面示意图。
为便于理解,已尽可能使用相同的附图标记来指定各图所共有的相同元件。预期,在一个实施方式中所公开的元件可有益地用于其他实施方式,而无需详细叙述。
具体实施方式
本文所述实施方式大体涉及基板的处理,更特定言之,涉及用于使用气相沉积工艺在基板上形成钨材料的方法。
钨(W)已经用在逻辑应用中的触点级别(contact level)约二十年。在最新的先进互补金属氧化物半导体(complementary metal oxide semiconductor;CMOS)器件中,诸如金属栅极之类的新技术和FinFET出现,这导致钨的新应用:用作PMOS及NMOS器件两者的金属栅极填充。在三维(3dimensional;3D)NAND器件中,亦将钨用于金属栅极填充。钨填充的这些需求变得越来越具有挑战性。对于触点而言,在钨保形填充(conformal fill)之后,由于触点的尺寸越来越小且通常留下大接缝(seam),所以凸出(overhang)变得更具挑战性。另外,在WCMP期间,接缝将被暴露于浆料中,这引起整合(integration)问题。对于在先进的CMOS及三维NAND两者中的金属栅极沟槽而言,传统的钨保形生长不可避免地在中间留下接缝,该接缝可在钨回蚀工艺期间扩展得更宽,从而引起器件故障。因此,对于在先进的逻辑及内存装置中的触点及金属栅极填充两者而言,都需要无缝钨填充。可借助本文所述的钨沉积-回蚀-沉积填充工艺来实现这种无缝钨填充。通常,为了更好的工艺控制,钨回蚀工艺在专用蚀刻腔室中利用NF3作为蚀刻剂。额外的蚀刻腔室使得工具配置更加复杂。NF3蚀刻的另一主要缺点是在回蚀之后NF3将玷污(poison)钨表面,因此第二钨沉积工艺需要另一钨成核层,从而导致更低的产量及更高的触点/接线电阻。
在本文所述的某些实施方式中,通过使用卤化钨等离子体(例如WF6等离子体)来实现钨回蚀。等离子体源可为射频(radio frequency;RF)等离子体源或远程等离子体源(remote plasma source;RPS)。从WF6等离子体中解离出原子氟且将原子氟用以蚀刻金属钨。蚀刻速率取决于WF6流量及等离子体条件。通过调整工艺条件,可达到的范围内的很适度的蚀刻速率以控制回蚀量。随即,可在具有不同的临界尺寸(critical dimensions;CD)和凸出的结构上产生无缝填充,且可避免TiN衬垫(liner)遭受原子氟攻击。因为在蚀刻剂中没有氮,所以在WF6回蚀之后没有毒害效应(poisoningeffect)。第二沉积工艺可在无需成核层的情况下直接利用WF6+H2化学物质。另一大优点为:由于在一个腔室中可使用WF6作为沉积前驱物及蚀刻剂两者,所以可实现单个腔室沉积-蚀刻-沉积工艺。具有RF或RPS等离子体能力的标准WCVD腔室可执行沉积及回蚀两者,这提供提高的产量及腔室冗余(chamber redundancy)。
图2是可用于根据本文所述的实施方式来沉积钨层的等离子体增强化学气相沉积(plasma enhanced CVD;PECVD)处理腔室200的示意剖视图。这样的处理腔室200可购自位于Santa Clara,California(加利福尼亚圣克拉拉)的Applied Materials,Inc.(应用材料公司),下文对该腔室进行简短描述。能够执行本文所述的成核及整体层沉积方法的整合处理系统为钨化学气相沉积腔室,该沉积腔室可购自位于Santa Clara,California(加利福尼亚圣克拉拉)的Applied Materials,Inc.(应用材料公司)。应理解的是,如下所述的腔室为示例性实施方式,且在不偏离本文所述的本发明的特性的情况下可使用或改进包括来自其他厂商的腔室的其他腔室以匹配本公开内容的实施方式。
处理腔室200可为处理系统的一部分,该处理系统包括连接到中央传送腔室且由机械手操作的多个处理腔室(参看图5)。处理腔室200包括界定处理空间212的壁206、底部208、及盖210。壁206及底部208通常由单块铝制成。在壁206中可具有导管(未图示),流体可通过这些导管,以控制壁206的温度。处理腔室200亦可包括将处理空间212耦接至排气口216的泵环214以及其他泵部件(未图示)。
可被加热的基板支撑组件238可设置在处理腔室200内的中心处。在沉积工艺期间,基板支撑组件238支撑基板203。基板支撑组件238通常由铝、陶瓷或铝及陶瓷的组合制成,且基板支撑组件238通常包括真空口(未图示)及至少一个或多个加热元件232。
在沉积工艺期间,可使用真空口以在基板203与基板支撑组件238之间施加真空,以便将基板203固定至基板支撑组件238。举例而言,一个或多个加热元件232可为设置在基板支撑组件238中且耦接至电源230的电极,以将基板支撑组件238及位于基板支撑组件238上的基板203加热至预定温度。
通常,将基板支撑组件238耦接至杆242。杆242提供用于在基板支撑组件238与处理腔室200的其他部件之间的电导线、真空及气体供应线的导管。另外,杆242将基板支撑组件238耦接至升降系统244,该升降系统244移动基板支撑组件238于升高的位置(如图2中所示)与降低的位置(未图示)之间。波纹管246提供在处理空间212与腔室200外部的空气(atmosphere)之间的真空密封,同时促进基板支撑组件238的移动。
基板支撑组件238另外支撑外接遮蔽环(circumscribing shadow ring)248。遮蔽环248为环形形状且通常包含陶瓷材料(诸如,例如氮化铝)。通常,遮蔽环248防止在基板203及基板支撑组件238的边缘处的沉积。
盖210由壁206支撑,且盖210可以是可移动的以允许处理腔室200的操作。盖210可通常由铝构成且盖210可能另外具有形成于盖210中的热传递流体通道224。热传递流体通道224耦接至流体源(未图示),该流体源使热传递流体流过盖210。流过热传递流体通道224的流体调节盖210的温度。
通常可能将喷头218耦接至盖210的内侧220。穿孔的区隔板(blocker plate)236可能视情况可选地设置在喷头218与盖210之间的间隔222中。当气体(即,工艺气体与其他气体)填充喷头218后面的间隔222时,穿过混合块234进入处理腔室200的该气体首先被区隔板236扩散。气体然后通过喷头218且进入到处理腔室200内。区隔板236及喷头218被配置成将均匀的气流提供至处理腔室200。需要均匀气流以促进基板203上的均匀层的形成。
将气源260耦接到盖210以将通过喷头218中的气体通道的气体提供至喷头218与基板203之间的处理区域。真空泵(未图示)可被耦接至处理腔室200以控制处理空间处于所需压力下。借助匹配网络290将射频(RF)源270耦接至盖210和/或喷头218以将射频(RF)电流提供到喷头218。射频(RF)电流在喷头218与基板支撑组件238之间产生电场,因此可从喷头218与基板支撑组件238之间的气体产生等离子体。
亦可将远程等离子体源280(诸如感应耦合的远程等离子体源)耦接于气源260与盖210之间。在对基板进行处理之间,可将清洁气体提供至远程等离子体源280,以便产生远程等离子体。可将来自远程等离子体的自由基提供至用于等离子体蚀刻工艺的处理腔室。蚀刻气体可借助被提供到喷头218的射频(RF)源270而进一步被激发。
图3是描绘用于根据本文所述的实施方式来沉积钨填充层的方法300的流程图。在方块310处,将具有形成于基板中的特征的基板定位在处理腔室中。在方块320处,在特征中沉积钨成核层。在方块330处,使用含钨气体将第一钨膜沉积在钨成核层上。在方块340处,使用含钨气体蚀刻第一钨膜,以移除第一钨膜的部分。在方块350处,使用含钨气体将第二钨膜沉积在第一钨膜上。在方块360处,确定是否沉积了总体理想厚度的钨层。若已经达到总体理想的厚度,则结束工艺。若没有达到总体理想的厚度,则可重复蚀刻-沉积工艺。
图4A至图4F是根据本文所述的实施方式的在失效(lapsed)工艺(诸如工艺300的方块310至方块360)期间的基板的示意剖视图。使用工艺300以在基板表面上形成钨金属化材料。在一个实例中,图4A至图4F中所描绘的工件400可借助工艺300制成或以其他方式形成。
图4A描绘包括设置在基板402上的介电层410和形成或以其他方式包括于介电层410中的特征408的工件400。特征408具有至少一个侧壁422及底表面424。示例性特征包括半导体、太阳能、或其他电子装置(诸如高深宽比接触插塞)中所使用的特征(诸如过孔、沟槽、接线、接触孔)或其他特征。在特征为过孔的一些实施方式中,此过孔可具有高深宽比(例如,AR~20-50)。通常,基板402为硅基板,或基板402至少含有硅或基于硅的材料。在许多实例中,工件400为具有如基板402的硅基板或晶片的半导体工件;介电层410含有至少一种介电材料,该介电材料诸如是硅、单晶硅、微晶硅、多晶硅(聚硅)、非晶硅、氢化非晶硅、氧化硅材料、上述材料的掺杂剂衍生物、或上述材料的组合。
工件400的上表面404可具有设置在上表面404上的至少一种或多种污染物。设置在工件400的上表面404上的污染物可包括自然氧化物(native oxide)、残留物、粒子、和/或其他污染物。在工艺300的各种实施方式中,可使用可选工艺以清洁工件400的上表面404。举例而言,在可选工艺(诸如预清洁工艺或背侧抛光工艺)期间可将污染物从工件400的上表面404移除。图4A描绘了不含污染物或大体上不含污染物、包括不含自然氧化物的工件400的上表面404。
在一些实施方式中,可将工件400的上表面404暴露于预清洁工艺。上表面404通常含有设置于上表面404上的硅、多晶硅、或含硅表面(例如,硅化物),且上表面404在预清洁工艺期间可暴露于预清洁溶液、蒸气、或等离子体。在一个实施方式中,将上表面404暴露于气体形式的还原剂(诸如硅烷、二硅烷、二硼烷、氢、磷化氢(phosphine)、或上述各者的衍生物)中。载气可与还原剂同向流动。载气包括氢、氮、氩、或上述各项的组合。在另一实施方式中,将上表面404暴露于等离子体预清洁工艺。等离子体可在内部(例如,原位等离子体)产生或在外部(例如,远程等离子体系统)产生。可将上表面404暴露于由气体或含有氩、氦、氖、氢、氮、氨、硅烷、二硅烷、二硼烷、或上述各项的混合物的气体混合物所形成的等离子体。在若干实例中,所述等离子体可由氢及氨的混合物、氢及氮的混合物、或氮及氨的混合物形成。
如图4B中所描绘,在可选预清洁工艺之后,粘附层可形成于设置在基板上的介电层上。粘附层420形成相对均匀的材料层于介电层410的平坦上表面404、特征408的侧壁422、及特征408的底表面424上。在一些实施方式中,粘附层420含有金属或金属氮化物材料,该材料诸如是钛、氮化钛、上述材料的合金、或上述材料的组合。用于粘附层420的示例性材料包括钽(Ta)、氮化钨(WN)、氮化钛(TiN)、TiNxSiy、氮化钽(TaNx)、氮化硅(SiN)、钨(W)、CoWP、NiMoP、NiMoB、钌(Ru)、RuO2、钼(Mo)、MoxNy、及上述材料的组合,其中x及y为非零数字。粘附层420可具有在从约至约的范围内、更加狭窄地在从约至约的范围内、更加狭窄地在从约至约的范围内、更加狭窄地在从约至约的范围内、更加狭窄地在从约至约的范围内、更加狭窄地在从约至约的范围内、及更加狭窄地在从约至约的范围内的厚度。粘附层420通常借助化学气相沉积(CVD)、原子层沉积(ALD)或物理气相沉积(PVD)工艺来沉积。
如图4C中所描绘,在工艺300的方块320中,在粘附层420上沉积理想厚度的成核层430。成核层430可为薄钨层,该层充当后续膜的生长位置。成核层430可借助诸如原子层沉积(ALD)、传统化学气相沉积(CVD)、或脉冲化学气相沉积(CVD)之类的技术被沉积。此工艺可在类似于如上参考图2所述的化学气相沉积(CVD)处理腔室中进行。可将成核层沉积在与用于阻挡层浸渍(soak)工艺的处理腔室相同的处理腔室中。成核层430可包含钨、钨合金、含钨物质(例如,硼化钨或硅化钨)、及上述材料的组合。可将成核层430沉积至约10埃至约200埃或者约50埃至约150埃的范围内的厚度。成核层可借助使含钨气体(例如,诸如WF6之类的卤化钨化合物)及含氢气体(例如,H2、B2H6、或SiH4)流入处理腔室(诸如图2中所示的处理腔室200)中而被沉积。用于沉积钨成核层的工艺在共同转让的美国专利第7,405,158号中进行了进一步描述。
如图4D中所描绘,在工艺300的方块330中,在成核层430上沉积整体钨层460的第一钨膜440。如在图4D中所描绘,在特征408的较低部分已经从特征408的底表面424完全生长之前,沿着特征408的侧壁422的第一钨膜440的生长倾向于封闭特征的开口442,这导致空隙444形成于特征408中。
在一个实施方式中,第一钨膜440可沉积在成核层430上或沉积成覆盖成核层430。通常借助热化学气相沉积(CVD)、脉冲化学气相沉积(pulsed-CVD)、等离子体增强化学气相沉积(PE-CVD)、或脉冲等离子体增强化学气相沉积(PE-CVD)形成覆盖的第一钨膜440。用以沉积第一钨膜440的处理腔室可为处理腔室200。第一钨膜440可含有金属钨、钨合金、含钨物质(例如,硼化钨、硅化钨、或磷化钨)、或上述物质的组合。
在一个实例中,在化学气相沉积(CVD)工艺期间,第一钨膜440可沉积在工件400上的成核层430上或沉积成覆盖成核层430,同时将工件400暴露于含钨气体(例如,六氟化钨(WF6))及含氢气体(例如氢(H2))。用于浸渍成核层430及在成核层430上沉积第一钨膜440的示例性工艺在共同转让的美国专利第6,156,382号中进行了进一步描述。
可使用与用以沉积成核层430的处理气体、含钨气体及含氢气体相同的处理气体、含钨气体及含氢气体来沉积第一钨膜440。可在与形成成核层430的处理腔室相同的处理腔室(诸如处理腔室200)中形成第一钨膜440。
在一个实施方式中,在成核层430的沉积及任何随后的净化或后浸渍工艺之后,可将此基板定位在具有约13,560cm3的体积的300mm的处理腔室中且在具有约100℃至约600℃的范围内(例如,约300℃至430℃范围内)的温度的基座上。在一个实例中,此温度可以是约400℃。在处理腔室压力处于约10托至约300托的范围内(例如,约30托至约100托的范围内)的压力的情况下,可执行第一钨膜440的沉积。在一个实例中,此压力可以是约90托。还原气体例如诸如氢气(H2)之类的含氢气体可在1,000sccm与约8,000sccm之间(诸如5,000sccm)的连续流动速率下被引入。还原气体可利用诸如氩(Ar)之类的载气在约0sccm至约20,000sccm范围内的流动速率下被引入。在一个实例中,氩可在11,000sccm的总流动速率下被引入。可使第二氩流以约0sccm至2,000sccm的速率流过净化导管(图2中未图示),以防止沉积气体接触基板的边缘及背侧。在一个实例中,氩边缘净化流可为500sccm。同样,可使第二氢气(H2)流以从约0sccm至6,000sccm的速率流过净化导管(图2中未图示)。在一个实例中,氢气边缘净化流可为2,500sccm。在另一实施方式中,诸如氩之类的另外的载气流可被引入作为为了防止腔室加热元件的背侧上的沉积的底部净化。在一个实例中,氩底部净化流可为5,000sccm。含钨化合物可为六氟化钨(WF6)且含钨化合物可在约50sccm至500sccm的范围内(诸如约300sccm至400sccm的范围内)的连续流动速率下被引入。
第一钨膜440可以以约与约之间(例如约 与约之间)的沉积速率被沉积。第一钨膜440可具有从约到约的范围内、且更加狭窄地从约到约的范围内的厚度。
如在图4D及图4E中所描绘,在工艺300的方块340中,使用含钨气体蚀刻整体钨层460的第一钨膜440以移除第一钨膜440的部分。蚀刻工艺(亦被称为回蚀工艺)从沿着特征408的侧壁422移除第一钨膜440的部分,以清除用于钨材料的进一步沉积的特征开口442的部分。亦可在与方块330的钨沉积工艺的处理腔室相同的处理腔室(诸如处理腔室200)中执行蚀刻工艺。通常使用与在方块330中所使用的含钨气体相同的含钨气体来执行蚀刻工艺。
在一个实施方式中,在第一钨膜440的沉积及任何随后的净化或后浸渍工艺之后,使用等离子体蚀刻工艺来蚀刻第一钨膜440。通过将射频(RF)功率耦合至处理气体(诸如氦(He)、氩(Ar)、氧气(O2)、氮气(N2)或上述气体的组合)可形成等离子体。等离子体可借助远程等离子体源(remote plasma source;RPS)来形成,且等离子体被传递至处理腔室。
在蚀刻工艺期间,基座可具有在约100℃至约600℃的范围内(例如,在约300℃至430℃的范围内)的温度。在一个实例中,此温度可以是约400℃。在处理腔室的压力在约0.1托至约5托的范围内(例如,在约0.5托至约2托的范围内)的情况下,可执行第一钨膜440的蚀刻。在一个实例中,此压力可以是约1托。处理气体(例如,氩(Ar))可在约100sccm至约3,000sccm的范围内的流动速率下被引入。在一个实例中,氩可在2,000sccm的总流动速率下被引入。可使第二氩流以从约0sccm至2,000sccm的速率流过净化导管(图2中未图示),以防止沉积气体接触基板的边缘及背侧。在一个实例中,氩边缘净化流可为500sccm。同样,可使第二氢气(H2)流在从约0sccm至6,000sccm的速率下流过净化导管(图2未图示)。在一个实例中,氢气边缘净化流可为2,500sccm。在另一实施方式中,诸如氩之类的另外的处理气体流可被引入作为为了防止腔室加热元件的背侧上的沉积的底部净化。在一个实例中,氩底部净化流可为5,000sccm。含钨化合物可为六氟化钨(WF6)且可以在约1sccm至150sccm的范围内(诸如在约3sccm至100sccm的范围内)的连续流动速率下被引入。
箭头464'代表在蚀刻工艺期间引起原子氟与第一钨膜440的顶部(平坦)表面发生碰撞的原子氟的方向。
在借助将射频(RF)功率耦合至处理气体形成等离子体的实施方式中,可使用在从约10MHz到约30MHZ(例如约13.56MHz)的射频功率频率下且在50W与100W之间(诸如75W)的射频功率。
在等离子体形成于远程等离子体源(RPS)中的实施方式中,施加的功率可为从约1,000瓦特至约6,000瓦特(例如从约1,000瓦特至约2,000瓦特),处理气体流动速率(例如,氩)从约500sccm至约6,000sccm。
第一钨膜440的部分可在从约至约(例如,从约 至约)的蚀刻速率下被移除。用于回蚀工艺的处理条件通常被选择成使得将第一钨膜440的凸出部443从第一钨膜440移除。
如图4F中所描绘,在工艺300的方块350中,在第一钨膜440的蚀刻之后,整体钨层460的第二钨膜448被沉积在剩余的第一钨膜446上。整体钨层460的第二钨膜448可在与方块330的沉积工艺及方块340的蚀刻工艺的处理腔室相同的处理腔室(诸如处理腔室200)中被沉积。可使用与在方块330及方块340中所使用的含钨气体相同的含钨气体来沉积整体钨层460的第二钨膜448。
在一个实施方式中,在第一钨膜440的蚀刻之后,执行整体钨层460的第二钨膜448的沉积。整体钨层460的第二钨膜448可在具有约100℃至约600℃的范围内(例如,约300℃至约430℃的范围内)的温度的基座上执行。在一个实例中,此温度可以是约400℃。在处理腔室的压力在约10托至约300托的范围内(例如在约30托至约100托的范围内)的情况下,可执行整体钨层460的第二钨膜448的沉积。在一个实例中,此压力可以是约90托。还原气体例如氢气(H2)可在1,000sccm与约8,000sccm之间(诸如5,000sccm)的连续流动速率下被引入。还原气体可用流动速率在约0sccm至约20,000sccm的范围内的诸如氩(Ar)之类的载气被引入。在一个实例中,氩可在11,000sccm的总流动速率下被引入。可使第二氩流以从约0sccm至2,000sccm的速率流过净化导管(图2中未图示),以防止沉积气体接触基板的边缘及背侧。在一个实例中,氩边缘净化流可为500sccm。同样,可使第二氢气(H2)流在从约0sccm至6,000sccm的速率下流过净化导管(图2中未图示)。在一个实例中,氢气边缘净化流可为2,500sccm。在另一实施方式中,诸如氩之类的另外的载气流可被引入作为底部净化以防止在腔室加热元件的背侧上的沉积。在一个实例中,氩底部净化流可为5,000sccm。含钨化合物可为六氟化钨(WF6)且可在约50sccm至500sccm的范围内(诸如在约300sccm至400sccm的范围内)的连续流动速率下被引入。
整体钨第一钨层440的第二钨膜448可以约与约 之间的沉积速率下被沉积。整体钨第一钨层440的第二钨膜448可以约 与约之间的沉积速率下被沉积。
在工艺300的方块360中,确定是否已经达到整体钨层460的总理想厚度。若已达到整体钨层460的理想厚度,则结束工艺300。若整体钨层460的理想厚度未达到,则可再次执行上述沉积与蚀刻工艺中的任一者。可使用传统工艺(诸如,例如分光测定(spectroscopicmeasurement))进行钨整体层的厚度的确定。
工艺整合
当如上所述的含钨层及阻挡层与传统的成核填充技术整合以形成具有卓越膜性能的特征时,此含钨层及阻挡层表现了特定的实用性。整合方案可包括用以沉积含钨层及阻挡层的原子层沉积(Atomic layer deposition;ALD)、化学气相沉积(CVD)、脉冲-化学气相沉积(pulsed-CVD)工艺、等离子体增强化学气相沉积(plasma-enhanced CVD)、或脉冲等离子体增强化学气相沉积(pulsed PE-CVD),同时成核层可借助ALD工艺被沉积。能够执行此整合方案的整合处理系统包括处理系统,每一处理系统都可购自位于Santa Clara,California(加利福尼亚圣克拉拉)的Applied Materials,Inc.(应用材料公司)。这些系统中的任一者可被配置成包括至少一个用于沉积含钨层及阻挡层的原子层沉积(ALD)腔室、至少一个用于沉积成核层的原子层沉积(ALD)或脉冲化学气相沉积(pulsed-CVD)腔室、至少一个用于沉积整体填充的化学气相沉积(CVD)腔室、和/或至少一个用于另外的材料的物理气相沉积(PVD)腔室。在一个实施方式中,一个原子层沉积(ALD)或化学气相沉积(CVD)腔室可被配置成执行与含钨层相关的所有气相沉积工艺。
图5是可用于执行本文所述实施方式的群集工具500的示意平面图。在共同转让的美国专利第5,186,718号中公开了类似的多腔室处理系统。处理系统500通常包括用于将基板传送至处理系统500中及将基板从处理系统500传送出的装载锁定腔室502及504。通常,由于处理系统500处于真空,装载锁定腔室502及504可对被引入处理系统500中的基板进行“抽空降压(pump down)”。第一机械手510可传送基板于装载锁定腔室502和装载锁定腔室504与第一组的一个或多个基板处理腔室512、514、516、及518(图示了四个)之间。每一处理腔室512、514、516、及518都可被配备成执行数个基板处理操作,这些基板处理操作诸如是原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻工艺、预清洁工艺、脱气工艺、定向工艺、或其他基板工艺。第一机械手510亦将基板传送至一个或多个传送腔室522及524/从一个或多个传送腔室522及524传送基板。
当允许将基板在处理系统500中传送时,使用传送腔室522及524以维持超高真空条件。第二机械手530可传送基板于传送腔室522和524与第二组的一个或多个处理腔室532、534、536、及538之间。类似于处理腔室512、514、516、及518,处理腔室532、534、536、及538可被配备成执行多种基板处理操作,这些基板处理操作诸如是原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、脱气、或定向。对于借助处理系统500执行的特定工艺而言,若非必要,则可从处理系统500中移除处理腔室512、514、516、518、532、534、536及538中的任一者。可使用微处理器控制器520来操作处理系统500的所有方面。
在一个布置中,每一个处理腔室532及538可为原子层沉积(ALD)腔室或适于沉积含有不同化合物的连续层的其他气相沉积腔室。举例而言,连续层可包括层、阻挡层、及成核层。处理腔室534及536可为适于形成整体层的原子层沉积(ALD)腔室、化学气相沉积(CVD)腔室、或物理气相沉积(PVD)腔室。处理腔室512及514可为适于沉积介电层的物理气相沉积(PVD)腔室、化学气相沉积(CVD)腔室、或原子层沉积(ALD)腔室。并且,处理腔室516及518可为被配备成蚀刻特征或互连特征的开口的蚀刻腔室。提供处理系统500的这一个特定布置,以举例说明本公开内容的一些实施方式,且此特定布置不用以限制本公开内容的其他实施方式的范围。
在另一整合方案中,将一个或多个原子层沉积(ALD)腔室整合至第一处理系统上,同时将一个或多个整体层沉积腔室整合至第二处理系统上。在此配置中,首先在第一系统中处理基板,在该第一系统中,层、阻挡层及成核层按照顺序被沉积在基板上。此后,将基板移动至发生整体沉积的第二处理系统。
在另一整合系统中,系统可包括于单个腔室中进行的成核沉积以及整体填充沉积。被配置成以原子层沉积(ALD)模式以及传统化学气相沉积(CVD)模式两者运行的腔室可用于本文所述工艺中。此腔室的一个实例在共同转让的美国专利第6,878,206号中进行了描述。
在使用本文所述的利用WF6的沉积-蚀刻-沉积工艺的某些实施方式中,使用单腔室解决方案实现了无缝的缝隙填充。
虽然上述内容针对本公开内容的实施方式,但可在不背离本公开内容的基本范围的情况下设计本公开内容的其他及进一步的实施方式,且本公开内容的范围是由下述权利要求书来确定的。

Claims (19)

1.一种用于在基板上沉积钨膜的方法,所述方法包括以下步骤:
将具有形成于基板中的特征的基板定位在基板处理腔室中,其中所述特征由至少一个侧壁及底表面来界定;
通过以下步骤来沉积整体钨层的第一钨膜:
将卤化钨化合物和含氢气体的连续流引入至所述基板处理腔室,以在将所述基板处理腔室维持于第一压力和300℃至430℃之间的第一温度下的同时,在所述特征之上沉积所述第一钨膜;
通过以下步骤在所述基板处理腔室中使用等离子体处理来蚀刻所述整体钨层的所述第一钨膜,以移除所述第一钨膜的部分:
在将所述基板处理腔室维持于第二压力和300℃至430℃之间的第二温度下的同时,将所述第一钨膜暴露于经活化的处理气体和所述卤化钨化合物的连续流,所述第二压力低于所述第一压力;以及
通过以下步骤来沉积所述整体钨层的第二钨膜:
将所述卤化钨化合物和所述含氢气体的连续流引入至所述基板处理腔室,以在所述第一钨膜之上沉积所述第二钨膜。
2.如权利要求1所述的方法,其中所述卤化钨化合物选自由以下各者所组成的群组:六氟化钨(WF6)及六氯化钨(WCl6)。
3.如权利要求2所述的方法,其中所述含氢气体是氢(H2)。
4.如权利要求3所述的方法,其中所述经活化的处理气体包含氩气。
5.如权利要求4所述的方法,其中所述经活化的处理气体原位形成于所述基板处理腔室中。
6.如权利要求4所述的方法,其中使用远程等离子体源来形成所述经活化的处理气体。
7.如权利要求6所述的方法,其中所述特征形成于介电层的表面中且在所述表面之下,所述介电层形成于所述基板上。
8.如权利要求1所述的方法,其中粘附层形成在所述特征的所述至少一个侧壁及所述底表面上。
9.如权利要求8所述的方法,其中在所述粘附层之上形成成核层。
10.如权利要求1所述的方法,其中使用热化学气相沉积(CVD)工艺来沉积所述第一钨膜及所述第二钨膜。
11.如权利要求1所述的方法,其中横跨由所述特征所建立的所述基板的表面中的缝隙的标称尺寸是32nm或更小。
12.如权利要求1所述的方法,其中所述特征是选自触点、过孔、沟槽及接线的高深宽比特征。
13.一种用于在基板上沉积钨膜的方法,所述方法包括以下步骤:
将具有形成于基板中的特征的基板定位在基板处理腔室中,其中所述特征由至少一个侧壁及底表面来界定;
通过以下步骤来沉积整体钨层的第一钨膜:
将卤化钨化合物和含氢气体的连续流引入至所述基板处理腔室,以在将所述基板处理腔室维持于第一压力和300℃至430℃之间的第一温度下的同时,在所述特征之上沉积所述第一钨膜;
通过以下步骤在所述基板处理腔室中使用等离子体处理来蚀刻所述整体钨层的所述第一钨膜,以移除所述第一钨膜的部分:
在将所述基板处理腔室维持于第二压力和300℃至430℃之间的第二温度下的同时,将所述第一钨膜暴露于经活化的处理气体和所述卤化钨化合物的连续流,所述第二压力低于所述第一压力;以及
通过以下步骤来沉积所述整体钨层的第二钨膜:
将所述卤化钨化合物和所述含氢气体的连续流引入至所述基板处理腔室,以在所述第一钨膜之上沉积所述第二钨膜,其中以 之间的范围的蚀刻速率来移除所述第一钨膜的所述部分。
14.如权利要求13所述的方法,其中所述卤化钨化合物选自由以下各者所组成的群组:六氟化钨(WF6)及六氯化钨(WCl6)。
15.如权利要求14所述的方法,其中所述含氢气体是氢(H2)。
16.如权利要求15所述的方法,其中所述经活化的处理气体包含氩气。
17.如权利要求16所述的方法,其中所述经活化的处理气体原位形成于所述基板处理腔室中。
18.如权利要求17所述的方法,其中使用远程等离子体源来形成所述经活化的处理气体。
19.如权利要求13所述的方法,其中所述特征形成于介电层的表面中且在所述表面之下,所述介电层形成于所述基板上。
CN201480043646.6A 2013-08-16 2014-07-22 用六氟化钨(wf6)回蚀进行钨沉积 Active CN105453230B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361866665P 2013-08-16 2013-08-16
US61/866,665 2013-08-16
PCT/US2014/047618 WO2015023404A1 (en) 2013-08-16 2014-07-22 Tungsten deposition with tungsten hexafluoride (wf6) etchback

Publications (2)

Publication Number Publication Date
CN105453230A CN105453230A (zh) 2016-03-30
CN105453230B true CN105453230B (zh) 2019-06-14

Family

ID=52467136

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480043646.6A Active CN105453230B (zh) 2013-08-16 2014-07-22 用六氟化钨(wf6)回蚀进行钨沉积

Country Status (5)

Country Link
US (1) US9748105B2 (zh)
KR (1) KR102291990B1 (zh)
CN (1) CN105453230B (zh)
TW (1) TWI629373B (zh)
WO (1) WO2015023404A1 (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US20150348840A1 (en) * 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US20160300731A1 (en) * 2015-04-10 2016-10-13 Applied Materials, Inc. Methods of etchback profile tuning
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
KR102365114B1 (ko) 2015-08-28 2022-02-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
EP3375008B1 (en) * 2015-11-10 2020-05-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Plasma-free etching process
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
US9673058B1 (en) * 2016-03-14 2017-06-06 Lam Research Corporation Method for etching features in dielectric layers
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US10622214B2 (en) * 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
CN116377420A (zh) * 2017-06-23 2023-07-04 默克专利有限公司 用于选择性膜生长的原子层沉积方法
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10618805B2 (en) * 2017-09-22 2020-04-14 Applied Materials, Inc. Method to reduce pore diameter using atomic layer deposition and etching
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
JP7112490B2 (ja) 2017-11-11 2022-08-03 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
US10332888B2 (en) * 2017-11-13 2019-06-25 United Microelectronics Corp. Memory devices and method of manufacturing the same
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10879081B2 (en) 2017-11-22 2020-12-29 Applied Materials, Inc. Methods of reducing or eliminating defects in tungsten film
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
JP7023150B2 (ja) * 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10515821B1 (en) 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN110875245B (zh) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 用于填充孔洞或沟槽的薄膜沉积方法
US10741407B2 (en) 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN111162039A (zh) * 2018-11-08 2020-05-15 长鑫存储技术有限公司 金属导电结构及半导体器件的制备方法
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11189633B2 (en) 2019-03-21 2021-11-30 Samsung Electronics Co., Ltd. Semiconductor device and apparatus of manufacturing the same
JP2022551965A (ja) * 2019-10-15 2022-12-14 ラム リサーチ コーポレーション モリブデン充填
JP7394869B2 (ja) * 2019-12-09 2023-12-08 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US20230005717A1 (en) * 2019-12-13 2023-01-05 Lam Research Corporation Multi-state pulsing for achieving a balance between bow control and mask selectivity
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP2023523677A (ja) * 2020-02-28 2023-06-07 ラム リサーチ コーポレーション 高アスペクト比の3d nandエッチングのための側壁のノッチ低減
KR20220160624A (ko) * 2020-03-27 2022-12-06 램 리써치 코포레이션 핵생성 억제에 의한 피처 충진
TW202206634A (zh) * 2020-06-30 2022-02-16 美商應用材料股份有限公司 在低溫下的選擇性鎢沉積
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
US11749564B2 (en) 2020-09-22 2023-09-05 Applied Materials, Inc. Techniques for void-free material depositions
US20240006180A1 (en) * 2020-11-20 2024-01-04 Lam Research Corporation Low resistance pulsed cvd tungsten
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures
KR20240005861A (ko) * 2021-05-06 2024-01-12 어플라이드 머티어리얼스, 인코포레이티드 무공극 및 무시임 텅스텐 갭충전 프로세스의 생산성을 개선하기 위한 프로세싱 시스템 및 방법들
US20230008315A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Features of Semiconductor Devices and Methods of Forming the Same
CN114250444A (zh) * 2021-12-01 2022-03-29 安徽光智科技有限公司 一种等离子体辅助化学气相沉积高纯钨溅射靶材的方法
CN115831867B (zh) * 2023-02-24 2023-06-27 粤芯半导体技术股份有限公司 半导体器件中的钨填充方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102265383A (zh) * 2008-12-31 2011-11-30 应用材料股份有限公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100440260B1 (ko) * 2001-12-19 2004-07-15 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
KR20060072521A (ko) * 2004-12-23 2006-06-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
KR101275025B1 (ko) * 2007-07-12 2013-06-14 삼성전자주식회사 반도체 소자용 배선 구조물 및 이의 형성방법
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US20100072623A1 (en) * 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
JP5550843B2 (ja) * 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
JP5829926B2 (ja) * 2011-07-06 2015-12-09 東京エレクトロン株式会社 タングステン膜の成膜方法
KR102131581B1 (ko) * 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102265383A (zh) * 2008-12-31 2011-11-30 应用材料股份有限公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features

Also Published As

Publication number Publication date
TWI629373B (zh) 2018-07-11
KR102291990B1 (ko) 2021-08-19
US20150050807A1 (en) 2015-02-19
CN105453230A (zh) 2016-03-30
TW201510267A (zh) 2015-03-16
KR20160044004A (ko) 2016-04-22
US9748105B2 (en) 2017-08-29
WO2015023404A1 (en) 2015-02-19

Similar Documents

Publication Publication Date Title
CN105453230B (zh) 用六氟化钨(wf6)回蚀进行钨沉积
US8900999B1 (en) Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application
CN105518827B (zh) 实现无缝钴间隙填充的方法
CN102265383B (zh) 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
KR100978993B1 (ko) 순차 증착 기술을 이용한 내화 금속 층의 증착 방법
US7695563B2 (en) Pulsed deposition process for tungsten nucleation
US7745333B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
CN1671883B (zh) 铜膜沉积方法
US20100120245A1 (en) Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
TWI687994B (zh) 用於經由原子層沉積循環之蝕刻的方法
TW201702417A (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
CN115836380A (zh) 低电阻脉冲式cvd钨
TWI737601B (zh) 回蝕輪廓調整的方法
WO2024064337A1 (en) Plasma-enhanced molybdenum deposition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant