CN115104176A - 用于可流动间隙填充膜的多步骤处理 - Google Patents

用于可流动间隙填充膜的多步骤处理 Download PDF

Info

Publication number
CN115104176A
CN115104176A CN202180015194.0A CN202180015194A CN115104176A CN 115104176 A CN115104176 A CN 115104176A CN 202180015194 A CN202180015194 A CN 202180015194A CN 115104176 A CN115104176 A CN 115104176A
Authority
CN
China
Prior art keywords
chamber
pressure
treatment
film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180015194.0A
Other languages
English (en)
Inventor
马克西米利安·克莱蒙斯
尼古劳斯·贝基亚里斯
斯里尼瓦斯·D·内曼尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115104176A publication Critical patent/CN115104176A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

大体而言,本文描述的示例涉及用于在同一处理腔室中在沉积在基板上的可流动间隙填充膜上实行多个处理的方法和处理系统。在一示例中,半导体处理系统包括处理腔室和系统控制器。系统控制器包括处理器和存储器。存储器储存指令,所述指令在由处理器执行时使系统控制器:控制在处理腔室中的第一处理,所述第一处理在基板上实行,所述基板上具有通过可流动处理沉积的膜,以及控制在处理腔室中的第二处理,所述第二处理在其上具有所述膜的基板上实行。第一处理包括稳定膜中的键以形成经稳定的膜。第二处理包括致密化经稳定的膜。

Description

用于可流动间隙填充膜的多步骤处理
技术领域
本文描述的示例大体上涉及半导体处理领域,并且更特定地涉及例如在同一处理腔室中对基板上的可流动间隙填充膜实行多步骤处理。
背景技术
对于半导体装置的下一代超大规模集成电路(VLSI)和极大规模集成电路(ULSI),可靠地生产纳米级和更小的特征是技术挑战之一。随着电路技术极限的推升,VLSI和ULSI技术的尺寸不断缩小对处理能力提出了更高的需求。随着集成电路元件的尺寸减小(例如,纳米尺寸),通常仔细选择用以制造元件的材料和处理,以获得令人满意的电性能水平。
集成电路元件的减小的尺寸可导致元件之间的间隙越来越小。可能已适合于填充较大尺寸的类似间隙的一些处理可能不适合于填充较小尺寸间隙。因此,需要一种能够在保持集成电路装置的令人满意的性能的同时以较小的尺寸形成复杂装置的处理和处理系统。
发明内容
示例包括半导体处理系统。半导体处理系统包括处理腔室和系统控制器。系统控制器包括处理器和存储器。存储器储存指令,所述指令在由处理器执行时使系统控制器:控制在处理腔室中的第一处理,该第一处理在基板上实行,该基板上具有通过可流动处理沉积的膜;以及控制在处理腔室中的第二处理,该第二处理在其上具有所述膜的基板上实行。第一处理包括稳定膜中的键以形成经稳定的膜。第二处理包括致密化经稳定的膜。
示例亦包括用于半导体处理的方法。将其上具有通过可流动处理沉积的膜的基板传送到处理腔室中。在所述处理腔室中对基板上的膜实行第一处理。第一处理包括稳定膜中的键以形成经稳定的膜。在所述处理腔室内对基板上的膜实行第二处理。第二处理包括致密化经稳定的膜。
示例进一步包括非暂态计算机可读取储存介质,其储存指令,所述指令在由处理器执行时,使计算机系统实行操作。所述操作包括:控制处理系统以在处理系统的处理腔室中实行第一处理;以及控制处理系统以在处理腔室中实行第二处理。第一处理在基板上实行,该基板上具有通过可流动处理沉积的膜。第一处理包括稳定在膜中的键以形成经稳定的膜。第二处理在其上具有经稳定的膜的基板上实行。第二处理包括致密化经稳定的膜。
附图说明
为了可以详细了解本揭示内容的上述特征的方式,可参照示例得到以上简要概述内容的更特定的描述,其中一些示例描绘在附图中。然而,应注意,附图示出了一些示例,且因此不应视为限制本揭示内容的范围,因为本揭示内容可以允许其他等效的示例。
图1示出了根据一些示例的多腔室处理系统的示意性俯视图。
图2示出了根据一些示例的多压力处理腔室的示意图,多压力处理腔室被配置为产生用于处理的高压环境和用于处理的低压环境。
图3、4、5和6示出了根据一些示例的多压力处理腔室的各个示例。
图7是根据一些示例的用于半导体处理的方法的流程图。
图8、9和10是根据一些示例的示出图7的方法的一些方面的中间半导体结构的截面图。
为了便于理解,已尽可能使用相同的附图标记来表示图中共同的相同元件。
具体实施方式
大体而言,本文描述的示例涉及用于在同一处理腔室中对沉积在基板上的可流动间隙填充膜实行多个处理的方法和处理系统。多个处理可包括稳定通过可流动处理沉积的膜中的键,并接着使膜致密化。
在可流动的膜上实行本文描述的示例处理可以改善膜的品质。可流动的膜由于其流入间隙,特别是高深宽比间隙(例如,深比宽的深宽比大于10∶1)的能力而被广泛使用。可流动的膜通常具有不良品质,包括低密度。先前已进行了尝试来提高可流动的膜的品质,包括实施热水浸泡的处理。这些处理是使用多个工具或处理腔室来实现的,每个工具或处理腔室实行不同的处理。即使采用这些处理,也发现膜的品质可能仍不佳。例如,由于例如整个膜的密度的不均匀性,膜的湿蚀刻速率可能基于膜中的蚀刻深度而变化。此外,湿蚀刻速率,即使变化,也可能相对较高,这可能引起蚀刻速率的任何偏差,导致结果的显著差异。这可能导致不同量的膜余留在缝隙中。本文所述的示例可改善膜的品质,例如改善膜的密度。改善的密度可以达到更均匀和更低的蚀刻速率,该蚀刻速率可以更容易地控制并且较不容易产生由于蚀刻速率的偏差导致的结果的显著差异。此外,可以在膜上实行较少的处理以获得这种益处,这可以进一步减少处理和等待时间。减少的处理和等待时间可继而减少制造最终产品的成本。此外,在一些应用中,更高品质的膜可以产生改善的电特性。根据各种示例可以实现这些和/或其他益处。
以下描述各种不同的示例。本文中以在基板上的鳍片(fin)之间形成隔离结构(例如,浅沟槽隔离(shallow trench isolation,STI))为场景描述了一些示例。通过这种处理形成的隔离结构可以在例如鳍式场效应晶体管(FinFET)中实施。提供这些示例是为了理解各种方面。其他示例可以在不同的场景中实施。例如,一些示例可以以通过可流动处理(例如,可流动化学气相沉积(FCVD)或旋涂)在任何基底结构上沉积的任何膜来实施。尽管可以在处理流程或系统中一起描述不同示例的多个特征,但是多个特征可以各自分别或单独地和/或在不同处理流程或不同系统中实施。此外,将各种处理流程描述为按顺序实行;其他示例可以以不同的顺序和/或以更多或更少的操作来实施处理流程。
图1示出了根据一些示例的多腔室处理系统100的示意性俯视图。通常,多腔室基板处理系统包括至少一个处理腔室,该至少一个处理腔室被配置为在诸如高压和低压的不同环境下实行处理。
处理系统100包括两个传送腔室102、104;传送机器人106、108,分别位于传送腔室102、104中;处理腔室110、112、114、116、118、120,其被设置成耦接到传送腔室102、104中的相应的一个;两个脱气腔室122,设置成与第一传送腔室102耦接;通过腔室124,其设置成与两个传送腔室102、104中的每一个以及在两个传送腔室102、104之间耦接;及控制器126。处理系统100可进一步包括装载锁定腔室128和工厂界面模块130。
第一传送腔室102是中央真空腔室,其与相邻的处理腔室110、112和脱气腔室122对接。第一传送腔室102与处理腔室110、112、脱气腔室122、通过腔室124、和两个装载锁定腔室128耦接。处理腔室110、112和脱气腔室122中的每一者具有隔离阀,该隔离阀设置在相应的腔室与第一传送腔室102之间。通过腔室124和装载锁定腔室128亦具有设置在相应的腔室124、128和第一传送腔室102之间的相应的隔离阀。每个隔离阀允许相应的腔室与第一传送腔室102流体隔离以及流体连接。腔室的隔离阀允许相应的腔室在例如与第一传送腔室102不同的压力水平下操作,并防止在相应腔室中使用或引入的任何气体被引入到第一传送腔室102中。每个装载锁定腔室128具有向外部环境开启的门,例如向工厂界面模块130开启的门。
第二传送腔室104是与相邻处理腔室114、116、118、120对接的中央真空腔室。第二传送腔室104与处理腔室114、116、118、120和通过腔室124耦接。处理腔室114、116、118、120中的每一者均具有隔离阀,该隔离阀设置在各个腔室与第二传送腔室104之间。通过腔室124亦具有设置在相应腔室124和第二传送腔室104之间的相应隔离阀。每个隔离阀允许相应的腔室与第二传送腔室104流体隔离。腔室的隔离阀允许相应的腔室在例如与第二传送腔室104不同的压力水平下操作,并防止在相应腔室中使用或引入的任何气体被引入到第二传送腔室104中。
第一传送腔室102和第二传送腔室104由可以包括冷却腔室或预热腔室的通过腔室124隔开。当第一传送腔室102和第二传送腔室104在不同压力下操作时,通过腔室124也可以在基板运送期间被抽空或通风。
尽管未示出,但是将气体和压力控制系统(例如,包括多个真空泵)设置成与每个传送腔室102、104,每个通过腔室124,以及每个处理腔室和脱气腔室110-122流体连通,以独立地调节各个腔室中的压力。气体和压力控制系统可包括一或多个气体泵(例如,涡轮泵、低温泵、粗抽泵等)、气体源、各种阀、和流体耦接到各个腔室的导管。气体和压力控制系统能够将任何腔室保持在目标压力下。
处理系统100由控制器126自动化,该控制器126经编程以控制处理系统100的操作、处理、或功能。控制器126可以对处理系统100的每个腔室进行单独的操作以处理基板。例如,控制器126可使用对处理系统100的腔室102-124的直接控制或通过控制与腔室102-124相关联的控制器来控制处理系统100的操作。在操作中,控制器126使得能够从各个腔室收集数据和反馈以协调处理系统100的性能。控制器126通常可以包括处理器132(例如,中央处理单元(CPU)或其他处理器)、存储器134、和支持电路136。处理器132可以是可以在工业设置中使用的任何形式的通用处理器中的一种。存储器134(例如,非暂态计算机可读取储存介质)可由处理器访问,并且可以是诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或任何其他形式的本地或远程的数字储存器之类的存储器中的一或多个。支持电路136可以耦接到处理器,并且可包括高速缓存、时钟电路、输入/输出子系统、电源等。通常,通过处理器132执行例如作为软件程序储存在存储器136中的计算机指令代码,可以在处理器132的控制下实施本文揭示的各种方法。当由处理器132执行计算机指令代码时,处理器132根据各种方法控制腔室以实行处理、和/或控制腔室内的处理。
基板(未示出)通过装载锁定腔室128被装载到处理系统100中。例如,工厂界面模块130(如果存在)将可负责从操作员或自动基板运送系统接收一或多个基板,例如,晶片、晶片盒、或晶片的封闭仓。工厂界面模块130可以打开基板的盒或仓(如果适用),并将基板移入和移出装载锁定腔室128。第一传送腔室102从装载锁定腔室128接收基板,且基板可以被传送遍及整个传送腔室102、104,包括经由通过腔室124。各个腔室110-122从传送腔室102、104接收基板,处理基板,并允许将基板传送回到传送腔室102、104中。
在正常操作中,将装载有基板的盒从工厂界面模块130穿过门而放置到装载锁定腔室128中,并且门关闭。接着将装载锁定腔室128抽空至与第一传送腔室102相同的压力,并且打开装载锁定腔室128与第一传送腔室102之间的隔离阀。使第一传送腔室102中的传送机器人106移动到位,并且从装载锁定腔室128中移出一个基板。装载锁定腔室128较佳地配备有升降机构,以便从盒中取出一个基板,升降机移动盒中的晶片堆叠以将另一晶片定位在传送平面中,使得其可定位于机器人叶片上。
接着第一传送腔室102中的传送机器人106与基板一起旋转,使得基板与处理腔室位置对准。处理腔室中冲洗任何有毒气体,并达到与传送腔室相同的压力水平,并且打开处理腔室与第一传送腔室102之间的隔离阀。接着传送机器人106将晶片移入处理腔室,在处理腔室将其提离传送机器人106。接着传送机器人106从处理腔室缩回,并且关闭隔离阀。接着处理腔室经历一系列操作以在晶片上执行指定的处理。当完成时,使处理腔室回到与第一传送腔室102相同的环境,并打开隔离阀。传送机器人106从处理腔室中取出晶片,然后将其移动到另一个处理腔室以进行另一操作、或将其移动到通过腔室124中以传送到第二传送腔室104中、或在装载锁定腔室中将其替换以当整盒晶片都已被处理时,从处理系统100中取出。
如果传送机器人106将基板移动到通过腔室124,则第一传送腔室102中的传送机器人106与基板一起旋转,从而使基板与通过腔室124的位置对准。使通过腔室124达到与传送腔室相同的压力水平,并且打开通过腔室124与第一传送腔室102之间的隔离阀。接着传送机器人106将晶片移入通过腔室124,在通过腔室将晶片提离传送机器人106。接着传送机器人106从通过腔室124缩回,并且关闭隔离阀。然后可以使通过腔室124达到与第二传送腔室104相同的环境,例如包括压力。当通过腔室124回到与第二传送腔室104相同的环境时,打开通过腔室124与第二传送腔室104之间的隔离阀。传送机器人108从通过腔室124移出晶片,并且关闭隔离阀。接着传送机器人108将基板移动到与第二传送腔室104耦接的另一处理腔室,以进行另一操作。传送机器人108可以将基板移动到与第二传送腔室104耦接的另一处理腔室,如以上关于传送机器人108将基板移动到与第一传送腔室102耦接的处理腔室所描述的那样。
传送机器人108可接着将基板移动到通过腔室124,以将其传送到第一传送腔室102,例如通过与穿过通过腔室124将基板接收于第二传送腔室104中相反的操作顺序。第一传送腔室102中的传送机器人106可以将基板移动到另一处理腔室以进行另一操作,或者可以在装载锁定腔室128中将其替换以在当已经处理了整盒晶片时从处理系统100中移除。传送机器人106、108分别包括机械臂107、109,它们在不同的处理腔室之间支撑和移动基板。
处理腔室110-120可以是或包括任何适当的处理腔室。处理腔室110-120中的一或多个是配置为在腔室中使用不同环境(例如具有不同压力等)在基板上实行处理的腔室。以下描述各种示例。用于处理腔室110-120的其他示例处理腔室包括化学气相沉积(CVD)腔室、原子层沉积(ALD)腔室、反应性离子蚀刻(RIE)腔室、快速热退火(RTA)或快速热处理(RTP)腔室之类的腔室。
其他处理系统可以处于其他配置中。例如,更多或更少的处理腔室可以耦接到传送设备。在所示的示例中,传送设备包括传送腔室102、104和通过腔室124。在其他示例中,更少或更多的传送腔室、通过腔室、和/或一或多个保持腔室可以被实施为处理系统中的传送设备。
图2示出了多压力处理腔室200的示意图,多压力处理腔室被配置为产生用于处理基板的高压环境和用于处理基板的低压环境。多压力处理腔室200包括第一腔室202和第二腔室204。第一腔室202设置在第二腔室204内并且可以被认为是内腔室,并且第二腔室204可以被认为是外腔室。此外,从以下描述中显而易见的是,第一腔室202可以被配置为使得能够进行高压处理,并且可进一步被认为是高压腔室。在一些实例中,第一腔室202和第二腔室204可以流体耦接在一起并被配置为能够进行低压处理。可以独立于第二腔室204中的压力来控制第一腔室202中的压力。
受控制的多压力处理腔室200进一步包括气体分配系统206、真空处理系统208、和控制器210。在一些示例中,气体分配系统206和真空处理系统208是图1的处理系统100的气体和压力控制系统的至少一部分。在一些示例中,处理系统100的控制器126可以是或包括控制器210。
气体分配系统206例如通过气流导管流体耦接至第一腔室202,并且可操作以对第一腔室202加压和减压。第一腔室202是高压处理腔室,其从气体分配系统206接收处理气体并建立高压,例如,处于至少1巴的压力。处理气体可以是或包括氧气(O2)、臭氧气体(O3)、一氧化二氮(N2O)、一氧化氮(NO)、蒸汽(H2O)、氨气(NH3)等,或其中的组合。气体分配系统206可包括例如可由控制器210控制以将处理气体输送至第一腔室的气体面板、导管、和阀的组合,第一腔室可具有不同的处理气体组成,以用于在多压力处理腔室200中实行的不同处理。为了加压第一腔室202,气体分配系统206将处理气体引入第一腔室202中。气体分配系统206可包括排气系统212,以从第一腔室202排出处理气体,从而使第一腔室202减压。
在一些实施方式中,多压力处理腔室200包括远程等离子体源(RPS)214。在这样的实施方式中,RPS 214例如通过气流导管流体地耦接到气体分配系统206。RPS 214进一步流体耦接至第一腔室202。可以在RPS 214中在等离子体中点燃从气体分配系统206流出的处理气体。来自RPS 214中的等离子体的流出物可流入第一腔室202。例如,RPS 214可以是电容耦合等离子体源或电感耦合等离子体源。
真空处理系统208例如通过气流导管流体耦接至第二腔室204,并且可操作以将第二腔室204的压力控制为处于低压,例如处于真空或接近真空的压力。低压可以是,例如,低至10毫托。例如,真空处理系统208将第二腔室204内的压力降低至接近真空,从而建立用于处理基板的合适的低压环境。
阀组件216设置在第一腔室202和第二腔室204之间,并且被配置为将第一腔室202内的压力与第二腔室204内的压力隔离。因此,可以将第一腔室202内的高压环境与第二腔室204内的环境分隔并密封。阀组件216可打开以将第一腔室202流体连接至第二腔室204和/或使基板能够从多压力处理腔室200转移。
在一些实施方式中,多压力处理腔室200包括连接到多压力处理腔室200并连接到外部环境的前级管线218。沿着前级管线218布置隔离阀220,以将第二腔室204内的压力与外部环境的压力隔离。可操作隔离阀220以调节第二腔室204内的压力以及释放第二腔室204内的气体。隔离阀220可与真空处理系统208结合操作以调节第二腔室204内的压力。
通常,在将基板设置在多压力处理腔室200内的第一腔室202内的同时,可通过多种处理来处理基板。例如,可以将基板传送到第一腔室202内的底座(未示出)。在一些示例中,可以通过阀组件216将基板传送到第一腔室202中。在将基板设置在第一腔室202中的底座上的情况下,阀组件216可以保持打开,从而将第一腔室202的内部空间与第二腔室204的内部空间流体耦接。因此,在阀组件216打开的同时,真空处理系统208可进行泵抽而降低第一腔室202和第二腔室204内的压力。因此,可以在将基板设置在第一腔室202中的底座上的同时在基板上实行低压处理。低压处理可包括使处理气体从气体分配系统206流入第一腔室202,该处理气体可通过真空处理系统208排出。在一些示例中,低压处理可包括使用在RPS 214中点燃的等离子体。
此外,在将基板设置在第一腔室202中的底座上的情况下,可以关闭阀组件216以将第一腔室202的内部空间与第二腔室204的内部空间流体隔离。气体分配系统206可以在阀组件216关闭的情况下在第一腔室202内建立高压。因此,可以在将基板设置在第一腔室202中的底座上的同时在基板上实行高压处理。高压处理可包括使处理气体从气体分配系统206流入第一腔室202。在一些示例中,高压处理可包括使用在RPS 214中点燃的等离子体。
图3至图6描绘了用于处理基板的多压力处理腔室的各种示例。这些多压力处理腔室的腔室的压力可使用与关于图2描述的系统类似的系统来控制。
参照图3,多压力处理腔室300包括第一腔室302、底座304、第二腔室306、和控制器(例如,控制器126)。从以下描述中显而易见的是,第一腔室302设置在第二腔室306内并且可以被认为是内腔室,并且第二腔室306可以被认为是外腔室。此外,从以下描述中显而易见的是,第一腔室302可以被配置为使得能够进行高压处理,并且可进一步被认为是高压腔室。在一些实例中,第一腔室302和第二腔室306可以流体耦接在一起并被配置为能够进行低压处理。
多压力处理腔室300进一步包括类似于真空处理系统208的真空处理系统(未示出)和类似于针对图2描述的气体分配系统206的气体分配系统307。例如,气体分配系统307包括输入管线307a和排气管线307b。通过输入管线307a将处理气体引入第一腔室302中,并且通过排气管线307b从第一腔室302中排出处理气体。在一些示例中,多压力处理腔室300可包括RPS,RPS可耦接至输入管线307a,以使等离子体流出物从RPS流入第一腔室302。
底座304支撑基板314,基板上的膜将被处理。底座304在第一腔室302内定位或可定位。在一些实施方式中,基板314直接位于底座的平坦顶表面上。在一些实施方式中,基板314位于从底座突出的销330上。
多压力处理腔室300包括内壁320、基部322、和外壁324。第一腔室302由内壁320和基部322内的空间提供。第二腔室306由在内壁320内和内壁320外,例如在内壁320和外壁324之间,的体积提供。
多压力处理腔室300进一步包括在第一腔室302和第二腔室306之间的阀组件316,其提供图2的阀组件216的功能,例如,其可以被操作以将第一腔室302与第二腔室306隔离以及使第一腔室302和第二腔室306流体耦接。例如,阀组件316包括内壁320、基部322、和致动器323,致动器323用以使基部322相对于内壁320移动。致动器323可被控制为驱动基部322垂直移动,例如,远离或朝向界定第一腔室302的内壁320移动。波纹管328可用于将第二腔室306与外部大气密封,同时允许基部322垂直移动。波纹管328可以从基部322的底部延伸到由外壁324形成的第二腔室306的底部。
当阀组件316处于关闭位置时,基部322接触内壁320,从而在基部322和内壁320之间形成密封,因而将第二腔室306与第一腔室302分隔开。致动器323被操作以以足够的力将基部322朝着内壁320驱动以形成密封。该密封防止来自第一腔室302的气体被排放到第二腔室306中。
当阀组件316处于打开位置时,基部322与内壁320间隔开,从而允许气体在第一腔室302和第二腔室306之间传导,并且亦允许基板314被接取并传送到另一腔室。
由于底座304支撑在基部322上,因此底座304也可相对于内壁320移动。底座304可以被移动以使得基板314能够被传送机器人更容易地接取。例如,传送机器人106或108的臂(见图1)可延伸穿过穿过外壁324的孔326(例如,狭缝)。当阀组件316处于打开位置时,机械臂可穿过内壁320和基部322之间的间隙以接取底座304上的基板314。
在一些实施方式中,多压力处理腔室300包括一或多个加热元件318,其被配置为向基板314施加热。当基板314被支撑在底座304上并且处理气体(如果使用的话)已经被引入到第一腔室302中时,来自加热元件318的热可以足以,例如,对基板314进行退火。加热元件318可以是电阻加热元件。一或多个加热元件318可定位在,例如,嵌入界定第一腔室302的内壁320中,例如在由内壁320提供的第一腔室302的顶板中。加热元件318可操作以加热内壁320,从而导致辐射热到达基板314。基板314可以由底座304固持而与顶板紧密相邻,例如距顶板2-10mm,以改善热从内壁320到基板314的传递。
一或多个加热元件318可布置在多压力处理腔室300内的其他位置中,例如布置在侧壁中而不是顶板内。加热元件318的示例包括离散的加热线圈。代替或除了嵌入内壁中的加热器,辐射加热器(例如,红外灯)可以定位在第一腔室302的外部,并引导红外辐射穿过内壁320中的窗。电线将诸如电压源的电源(未示出)连接到加热元件,并且可以将一或多个加热元件318连接到控制器。
控制器被可操作地连接至真空处理系统、气体分配系统307、和阀组件316,以控制操作来处理基板314。在一些实施方式中,控制器亦可被可操作地连接到其他系统。在一些情况中,图1中所示的控制器126是或包括多压力处理腔室300的控制器。
在处理基板314时,控制器可操作真空处理系统以将第二腔室306减压至低压,以准备穿过第二腔室306传送基板314。在第二腔室306处于低压的同时,通过传送机器人(例如,传送机器人106、108之一)使基板314移动通过孔326和第二腔室306,从而可以抑制基板314的污染。
基板314被传送到底座304上以进行处理。为了将基板314传送到底座304上,控制器可以操作阀组件316以打开阀组件316以提供开口,通过该开口可以将基板314传送到第一腔室302中并传送到底座304上。控制器可操作传送机器人,以将基板314运送到第一腔室302中,并将基板314放置在底座304上。
在将基板314传送到底座304上之后,控制器可以将阀组件操作为打开以进行低压处理或关闭以进行高压处理。可以实施任何顺序的高压处理和低压处理。在一些示例中,可以通过循环实行低压和高压处理来处理基板。
在阀组件316关闭的情况下,第一腔室302的内部空间与第二腔室306的内部空间隔离。在阀组件316关闭的情况下,可以将第一腔室302和第二腔室306中的压力设置为不同的值。控制器可操作气体分配系统307以将处理气体引入第一腔室302中以对第一腔室302加压以及处理基板314。处理气体的引入可以将第一腔室302内的压力增加到例如1巴或更高。第一腔室302中的处理可以处于高压下。如果实施的话,则可以在高压处理期间将等离子体流出物从RPS引入第一腔室302中以处理基板314。
控制器可操作阀组件316以打开阀组件316,从而使第一腔室302和第二腔室306彼此流体连通。在阀组件316打开的情况下,第一腔室302和第二腔室306中的压力可以相等。控制器可操作真空处理系统,以使第一腔室302和第二腔室306处于低压以处理基板314。第一腔室302和第二腔室306内的低压可以,例如,低至10毫托。因此,第一腔室302和第二腔室306中的处理可以处于低压下。控制器可操作气体分配系统307以将处理气体引入第一腔室302,处理气体可以通过真空处理系统排出,以处理基板314。如果实施的话,则可以在低压处理期间将等离子体流出物从RPS引入第一腔室302中以处理基板314。
在第一腔室302中的高压处理之后,控制器可在阀组件316打开之前操作气体分配系统307的排气系统以使第一腔室302减压。可以将压力降低到低压,使得第一腔室302和第二腔室306之间的压差可以最小化。
此外,在处理基板时(例如,在阀组件316打开或关闭和/或在高压或低压的情况下),控制器可在不同的处理期间将加热元件318操作于相同或不同的温度。此外,控制器可操作气体分配系统307以在任何处理(例如,高压处理或低压处理)期间使任何合适的气体流动。
当在多压力处理腔室300中完成基板314的处理时,可以使用传送机器人将基板314从第一腔室302移除。为了准备好将基板314从第一腔室302中移出,如果合适的话,则在阀组件316打开之前,控制器可操作气体分配系统307的排气系统以使第一腔室302减压。特别地,在将基板314移出第一腔室302之前,可以从第一腔室302排出处理气体以降低第一腔室302内的压力。
为了使基板314能够从第一腔室302移出,控制器可以打开阀组件316。打开的阀组件316提供开口,基板314通过该开口移动以被传送到第二腔室306中并穿过孔326。特别地,打开的阀组件316使基板314能够被直接传送到第二腔室306中,例如,传送到第二腔室306的低压环境中。控制器接着可操作传送机器人以将基板314传送到处理系统,例如处理系统100,的另一腔室。例如,基板314被传送到适当的处理腔室以进行进一步处理,或者被传送到装载锁定腔室以从处理系统移除基板。
参照图4,在另一示例中,多压力处理腔室400包括第一腔室402、底座404、第二腔室406、和控制器(未示出)。第一腔室402设置在第二腔室406内并且可以被认为是内腔室,并且第二腔室406可以被认为是外腔室。此外,第一腔室402可以被配置为使得能够进行高压处理,并且可进一步被认为是高压腔室。在一些实例中,第一腔室402和第二腔室406可以流体耦接在一起并被配置为能够进行低压处理。多压力处理腔室400类似于关于图3所描述的多压力处理腔室300;除非另外指明,否则各种选项和实施方式也适用于图4的示例。
例如,多压力处理腔室400的气体分配系统和真空处理系统以类似的方式操作,以维持使用多压力处理腔室400处理的基板414的低压和高压环境。第二腔室406可以由内壁420和外壁424之间的空间界定。此外,基板414也可支撑在底座404上,以在第一腔室402内进行处理。再次,基板414可直接位于底座404上,或位于延伸穿过底座的升降销430上。
多压力处理腔室400在一些方面与图3的多压力处理腔室300不同。首先,界定第一腔室402的内壁420相对于界定第一腔室402的基部422不可移动。底座404因此相对于内壁420和基部422固定。在一些示例中,底座404被固定到界定第一腔室402的基部422。
图4所示的示例的一或多个加热元件418被布置在底座404内,而非如图3的示例的一或多个加热元件318的情况那样布置在第一腔室402的内壁420中。基板414可因此通过与底座404接触而被加热。
多压力处理腔室400进一步包括在第一腔室402和第二腔室406之间的阀组件416,其类似于图3的阀组件316,将第一腔室402与第二腔室406隔离。然而,与阀组件316相反,阀组件416不是由界定第一腔室402的内壁420和基部422形成的,而是包括臂组件425,该臂组件具有相对于第一腔室402的内壁420可移动的一或多个部件。
具体而言,阀组件416包括臂组件425和阀门423,阀门423被配置为隔离及流体地连接第一腔室402和第二腔室406。孔423a穿过内壁420并且在第一腔室402和第二腔室406之间。臂组件425的臂425b穿过内壁420定位在孔423a中,而阀门423位于第一腔室402内。阀门423在臂组件425的其余部分的远端的位置处连接到臂425b。如图所示,臂425b进一步延伸穿过穿过外壁424的孔426,并且臂组件425的其余部分位于第二腔室406的外部。臂组件425由致动器428驱动,致动器428连接到臂组件425的驱动轴425a,该驱动轴也位于第二腔室406的外部。由致动器428驱动的驱动轴425a的运动通过臂组件425转换成臂425b的运动。在其他示例中,臂组件425(例如,包括驱动轴425a)和致动器428可以定位在第二腔室406内。
臂组件425延伸穿过孔423a并且相对于内壁420可移动,使得阀门423可移动到与内壁420形成密封的位置。致动器428驱动臂组件425的驱动轴425a,臂组件425将驱动轴425a的驱动转换成臂425b相对于内壁420的运动,并且沿孔423a穿过内壁420延伸的大致方向运动。臂425b在此方向上的运动可导致阀门423与内壁420接合(例如,当臂425b缩回时),从而与内壁420形成密封并将第一腔室402与第二腔室406隔离,以及可以使阀门423从内壁420移位(例如,当臂425b伸出时),从而将第一腔室402和第二腔室406流体连接。特定而言,阀门423可以是或包括从臂425b起的凸缘,该凸缘基本上平行于内壁420的相邻内表面延伸。
像阀组件316一样,阀组件416可在打开位置和关闭位置之间移动。当阀组件416处于关闭位置时,臂组件425的臂425b横向缩回,使得阀门423覆盖孔423a并接触内壁420中的一个,从而形成密封以将第一腔室402与第二腔室406隔离。特定而言,臂组件425的臂425b使阀门423(例如,凸缘)接触界定第一腔室402的内壁420的内表面。
当阀组件416处于打开位置时,臂组件425的臂425b横向延伸,使得阀门423与内壁420(例如,内壁420的内表面)横向间隔开。因此孔423a提供开口,该开口使得在第一腔室402和第二腔室406之间能流体连通。
控制器可以以与关于多压力处理腔室300的控制器所描述的处理类似的方式操作多压力处理腔室400,以将基板414传送入和传送出第一腔室402及处理基板414。在此处理中,为了打开和关闭阀组件416,控制器可操作致动器428以驱动臂组件425。
参照图5,在进一步的示例中,多压力处理腔室500包括第一腔室502、底座504、第二腔室506、和控制器(未示出)。多压力处理腔室500类似于关于图4描述的多压力处理腔室400;除非另外指明,否则各种选项和实施方式也适用于此示例。
例如,多压力处理腔室500的气体分配系统和真空处理系统以类似的方式操作,以维持针对使用多压力处理腔室500处理的基板(未示出)的低压和高压环境。此外,基板也可支撑在底座504或升降销上,以在第一腔室502内进行处理。
多压力处理腔室500与图4的多压力处理腔室400不同在于,底座504被安装到界定第一腔室502的顶板521,而不是安装到界定第一腔室502的基部522。像底座504一样,底座504相对于壁520、顶板521、和基部522是固定的。此外,多压力处理腔室500的一或多个加热元件518布置在底座504内。为了将基板定位在底座504上以使得基板被支撑在底座504上,将基板插入在底座504的板之间。一或多个加热元件518相对于这些板布置,使得当将基板插入到由底座504的板界定的槽中时,一或多个加热元件518可均匀地将热施加至基板。
参照图6,在进一步的示例中,多压力处理腔室600包括第一腔室602、底座604、第二腔室606、和控制器(未示出)。多压力处理腔室600类似于关于图4描述的多压力处理腔室400;除非另外指明,否则各种选项和实施方式也适用于此示例。
例如,多压力处理腔室600的气体分配系统和真空处理系统以类似的方式操作,以维持针对使用多压力处理腔室600处理的基板614的低压和高压环境。此外,基板614也可支撑在底座604上,以在第一腔室602内进行处理。
多压力处理腔室600与图4的多压力处理腔室400不同在于,多压力处理腔室600的阀组件616的阀门623接触界定第一腔室602的内壁620的外表面而不是内壁620的内表面,以覆盖内壁620中的孔623a。类似于阀组件416,阀组件616操作以将第一腔室602与第二腔室606隔离。阀组件616可以定位在第一腔室602和第二腔室606之间。
阀组件616包括设置在第二腔室606中的臂组件625和阀门623。孔623a穿过内壁620并且在第一腔室602和第二腔室606之间。阀门623位于第一腔室602的外部。臂组件625位于第一腔室602的外部和第二腔室606的内部。臂组件625不延伸穿过狭缝626。
臂组件625的臂625b可相对于内壁620移动,使得阀门623可移动到与内壁620形成密封的位置。例如,多压力处理腔室600包括致动器628,该致动器可操作以驱动臂组件625。致动器628耦接至臂组件625的驱动轴625a,驱动轴625a被配置成驱动以使臂组件625的臂625b相对于内壁620运动。
像阀组件316一样,阀组件616可在打开位置和关闭位置之间移动。例如,当阀组件616处于关闭位置时,臂组件625的臂625b横向延伸,使得阀门623接触内壁620而覆盖孔623a,从而形成密封以将第一腔室602与第二腔室606隔离。
当阀组件616处于打开位置时,臂组件625的臂625b横向缩回,使得阀门623不接触内壁620而露出孔623a。因此孔623a提供开口,该开口使得在第一腔室602和第二腔室606之间能流体连通。
控制器可以以与关于多压力处理腔室300的控制器所描述的处理类似的方式来操作多压力处理腔室600。在此处理中,为了打开和关闭阀组件616,控制器可操作致动器628以驱动臂组件625的臂625b。
图7是根据一些示例的用于半导体处理的方法700的流程图。图8至图10是根据一些示例示出了图7的方法700的一些方面的中间半导体结构的截面图。本文描述的示例是以在基板上的鳍片(fin)之间形成隔离结构(例如,浅沟槽隔离(shallow trench isolation,STI))为场景。本领域普通技术人员将容易地理解本文所描述的方面在其他场景中的各种应用,并且在其他示例的范围内考虑了这种变型。
根据图7的方块702,将可流动的膜沉积在基板上的鳍片上和鳍片之间。图8示出了沉积在基板802上的鳍片804上和鳍片804之间的可流动膜808的截面图。为了获得图8的结构,提供了基板802。基板802可以是任何合适的半导体基板,例如块体基板(bulksubstrate)、绝缘体上半导体(SOI)基板或类似基板。在一些示例中,基板802是块体硅晶片(bulk silicon wafer)。基板尺寸的示例包括直径为200mm、直径为350mm、直径为400mm、和直径为450mm等。接着在基板802上形成鳍片804。鳍片804可通过蚀刻特征,诸如沟槽806之类的特征,来形成,该沟槽806延伸到基板802中,使得每个鳍片804在相邻的一对特征(例如,沟槽806)之间界定。可以实施任何适当的图案化处理以形成特征。图案化处理可包括多图案化处理,例如自对准双重图案化(SADP)、光刻-蚀刻-光刻-蚀刻(LELE)双重图案化等,以实现鳍片804之间的目标间距。蚀刻沟槽806的示例蚀刻处理包括反应离子蚀刻(RIE)处理或类似处理。每个沟槽806可具有或形成高深宽比。深宽比可以是沟槽806的深度810与沟槽806的宽度812的比率。深宽比可以为10:1或更大。在一些示例中,在鳍片804上形成一层或多层,例如扩散阻挡层,并且可以从最外层的外表面测量深度810和宽度812。
接着将可流动膜808沉积在沟槽806中和鳍片804上。在一些示例中,可通过FCVD处理或旋涂来沉积可流动膜808。例如,在FCVD处理中,可流动膜808可以是包括高浓度的氮和/或氢的硅基电介质。例如,在FCVD处理中,前驱物可以是或包括硅烷基胺(silyl-amines),例如H2N(SiH3)、HN(SiH3)2、和N(SiH3)3、硅烷(SiH4)或其他类似的前驱物,它们可与其他气体混合,例如三硅烷基胺(N(SiH3)3)、氢气(H2)、氮气(N2)、和/或氨气(NH3)。可流动膜808的流动性可以允许可流动膜808提供良好的间隙填充,例如在高深宽比的间隙(例如,可以由沟槽806形成)中提供良好的间隙填充。
根据方块704,将其上沉积有可流动膜808的基板802接着传送到处理腔室。处理腔室是多压力处理腔室,例如以上关于图2至图7所描述的任何腔室。作为本文上下文的示例,方块704的处理腔室是图3的多压力处理腔室300。处理腔室可被包括在处理系统中,例如图1的处理系统100。
例如,基板802通过前开式晶片传送盒(FOUP)被传送到工厂界面模块130,并且在工厂界面模块130处,基板802从FOUP被传送到装载锁定腔室128。随后的传送和处理在处理系统100中实行,例如,不将基板802暴露于处理系统100外部的大气周围环境中,并且不破坏在处理系统100的传送设备内维持的低压或真空环境。传送机器人106将基板802从装载锁定腔室128传送到第一传送腔室102中。如果多压力处理腔室300耦接到第一传送腔室102,则之后基板802可以通过传送机器人106传送到处理腔室(例如,多压力处理腔室300),或者如果多压力处理腔室300耦接到第二传送腔室104,则基板802可通过传送机器人106传送到通过腔室124,并接着由传送机器人108从通过腔室124传送到多压力处理腔室300。在一些示例中,可流动膜808的沉积可以在处理系统内的处理腔室中。因此,在这样的示例中,基板802可以在可流动膜808的沉积之前被传送到处理系统100中,并且随后可以在处理系统100内被传送到多压力处理腔室300。如上所述,打开多压力处理腔室300的阀组件316,并且传送腔室的传送机器人将基板802传送到底座304上。
根据方块706,在处理腔室中实行处理,包括在方块708的第一处理,接着在方块710的第二处理。方块710的第二处理不同于方块708的第一处理。在其他示例中,可以在处理腔室中实行附加处理。
在一些示例中,在方块708处的第一处理在可流动膜中形成更多的键和/或更稳定的键,并且在方块710处的第二处理致密化,并且可在经稳定的膜内进一步建立更稳定的键。因此,在方块708处的第一处理包括稳定可流动膜,并且在方块710处的第二处理包括致密化经稳定的膜。
在一些示例中,方块708处的第一处理和方块710处的第二处理可以处于相同或不同的压力。在一些示例中,方块708处的第一处理的压力低于方块710处第二处理的压力。在一些示例中,方块710处的第二处理是在处理腔室内的压力大于实行方块708处的第一处理的处理腔室内的压力三个数量级或更多(例如,四个数量级或更多)的情况下实行的。在一些示例中,方块708处的第一处理的压力大于方块710处的第二处理的压力。作为示例,第一处理可以在从10毫托至100巴的范围内的压力下实行,并且第二处理可以在大于或等于1巴的压力下,诸如大于或等于5巴的压力下实行。
在一些示例中,实行第一处理和第二处理的温度相等,而在其他示例中,温度可以不同。在一些示例中,在方块708处的第一处理的温度小于在方块710处的第二处理的温度。作为示例,在方块708处的第一处理的温度可以在从300℃到1000℃的范围内,并且在方块710处的处理的温度可以在从300℃到1000℃的范围内而该温度可以等于、小于、或大于方块708处的第一处理的温度。作为示例,在方块708处的第一处理的温度可以在从100℃到300℃的范围内,并且在方块710处的处理的温度可以在从300℃到1000℃的范围内。
在一些示例中,针对方块708处的第一处理而流动的处理气体组成(例如,可以是单一气体或气体的混合物)不同于针对方块710处的第二处理而流动的处理气体组成。以下描述第一处理和第二处理的示例。
在一些示例中,方块708处的第一处理除了是稳定化处理之外,还是转换处理。转换和稳定化处理将可流动膜808转换为具有另一电介质组成。例如,转换处理可以将通过FCVD处理沉积的包括高浓度的氮和/或氢的硅基电介质转换成氧化硅。转换处理可以是氧化处理。
在一些示例中,氧化处理是热氧化处理或等离子体氧化处理。在热氧化处理中,可以在处理腔室中流动含氧的处理气体,例如氧气(O2)、臭氧气体(O3)、一氧化二氮(N2O)、一氧化氮(NO)、或其组合。含氧的处理气体可以连续地流入处理腔室中,或者可以流入处理腔室中,直到达到所需的压力并停止,在该处之后在氧化处理期间保持该压力。含氧处理气体的流率例如可以在约5sccm至约200slm的范围内。在热氧化处理期间,处理腔室内的压力可以保持在10毫托和100巴之间。热氧化处理可以在大于300℃的温度下实行,例如在约300℃至约1000℃的范围内。在等离子体氧化处理中,使用诸如氧气(O2)、臭氧气体(O3)、一氧化二氮(N2O)、一氧化氮(NO)、或其组合之类的含氧处理气体在RPS中点燃等离子体。含氧的等离子体流出物流入处理腔室。RPS的RF电源的频率可在约2MHz至约40MHz的范围内,例如13.56MHz,并且可具有在约50W至约3000W的范围内的功率。等离子体氧化处理中的气体流动、温度和压力可以与先前针对热氧化所描述的一样。
在一些示例中,方块708处的第一处理是稳定化处理,其不会显著影响可流动膜的组成。例如,稳定处理可以基本上保持硅基电介质的组成,该硅基电介质包括通过FCVD处理沉积的高浓度的氮和/或氢。
在一些示例中,稳定化处理是热处理或等离子体处理。在热处理中,可以将含氨的处理气体(例如氨气(NH3))流入处理腔室。含氨的处理气体可以连续地流入处理腔室中,或者可以流入处理腔室中直到达到所需的压力并停止,在该处之后在热处理期间保持该压力。含氨的处理气体的流率例如可以在约5sccm至约200slm的范围内。在热处理期间,处理腔室内的压力可以保持在10毫托和100巴之间的压力。热处理可以在大于300℃的温度下实行,例如在约300℃至约1000℃的范围内。在等离子体处理中,使用诸如氨气(NH3)之类的含氨处理气体在RPS中点燃等离子体。将含氮的等离子体流出物和/或含氢的等离子体流出物流入处理腔室。RPS的RF电源的频率可在约2MHz至约40MHz的范围内,例如13.56MHz,并且可具有在约50W至约3000W的范围内的功率。等离子体处理中的气体流动、温度、和压力可以与先前针对没有等离子体的稳定化所描述的一样。
在方块708处的第一处理可以在多压力处理腔室300中实行,同时阀组件316保持在打开或关闭位置,例如,取决于实行第一处理的压力。阀组件316可保持打开以进行低压处理,或者可以关闭以进行高压处理。含氧的处理气体或含氨的处理气体可通过气体分配系统307流动,并通过真空处理系统经由第二腔室306排出。加热元件318可以在第一处理期间维持第一腔室302中的温度。
在一些示例中,方块710处的第二处理是致密化处理。致密化处理增加从可流动膜808稳定化和/或转换的介电材料的密度。例如,致密化处理可增加氧化硅的密度,该氧化硅是从通过FCVD处理沉积的包括高浓度的氮和/或氢的硅基电介质转换而来的。致密化处理可以额外进一步将稳定化的膜转换成另一种电介质组成(例如,氧化硅)。致密化处理可以催化形成Si-O-Si键的反应。致密化处理可以是退火处理。
在一些示例中,退火处理是干退火处理或蒸汽退火处理。干退火处理可以在大于300℃的温度下实行,例如在约300℃至约1000℃的范围内。在干退火处理中,诸如氨气(NH3)、一氧化二氮(N2O)、一氧化氮(NO)或类似气体的处理气体可以流入处理腔室。处理气体可以连续流入处理腔室中,或者可以流入处理腔室中直到达到所需的压力并停止,在该处之后在干退火处理期间保持该压力。处理气体的流率例如可以在约5sccm至约200slm的范围内。当处理气体包括诸如一氧化二氮(N2O)和/或一氧化氮(NO)的含氧气体时,干退火处理可以另外是氧化处理以进一步转换膜。在干退火处理期间,可以将处理腔室内的压力保持在高压,例如巴的数量级,例如约1巴或更高,或更特定地,约5巴或更高。
蒸汽退火处理可以在大于300℃的温度下实行,例如在约350℃至约1000℃的范围内。在蒸汽退火处理中,有或没有其他处理气体(例如氨气(NH3)、一氧化二氮(N2O)、一氧化氮(NO)、或其组合)的蒸汽(H2O)可以流入处理腔室。有或没有处理气体的蒸汽可以连续流入处理腔室中,或者可以流入处理腔室中直到达到所需的压力并停止,在该处之后在蒸汽退火处理期间保持该压力。有或没有处理气体的蒸汽的流率例如可以在约5sccm至约200slm的范围内。蒸汽退火处理可以另外是氧化处理以进一步转换膜。在蒸汽退火处理期间,可以将处理腔室内的压力保持在高压,例如巴的数量级,例如约1巴或更高,或更特定地,约5巴或更高。
在方块710处的第二处理可以在多压力处理腔室300中实行,同时阀组件316在打开或关闭位置,例如,取决于实行第一处理的压力。处理气体(例如,包括蒸汽)可以通过气体分配系统307流动,气体分配系统可以建立并维持高压。加热元件318可以在第二处理期间维持第一腔室302中的温度。
在进一步的示例中,方块708处的第一处理除了是稳定化处理之外,还是转换处理。如上所述,转换和稳定化处理将可流动膜808转换为具有另一电介质组成。转换处理可以是氧化处理。在一些示例中,氧化处理是蒸汽氧化处理或等离子体氧化处理。在蒸汽氧化处理中,蒸汽(H2O)可以流入处理腔室。蒸汽可以连续流入处理腔室中,或者可以流入处理腔室中直到达到所需的压力并停止,在该处之后在蒸汽氧化处理期间保持该压力。蒸汽的流率例如可以在约5sccm至约200slm的范围内。在蒸汽氧化处理期间,处理腔室内的压力可以保持在小于5巴的压力下。蒸汽氧化期间的压力小于在方块710的第二处理的压力。蒸汽处理可以在大于100℃的温度下实行,例如在约100℃至约300℃的范围内。蒸汽氧化期间的温度小于在方块710的第二处理的温度。在等离子体氧化处理中,使用蒸汽(H2O)在RPS中点燃等离子体。含氧等离子体流出物和/或含氢等离子体流出物流入处理腔室。RPS的RF电源的频率可在约2MHz至约40MHz的范围内,例如13.56MHz,并且可具有在约50W至约3000W的范围内的功率。等离子体氧化处理中的蒸汽流动、温度和压力可以与先前针对蒸汽氧化所描述的一样。
以下表1总结了根据一些示例的处理的不同组合。表1的各行中包含一个示例。可以实施不同的组合。可以实行其他处理,例如通过重复第一和第二处理。
Figure BDA0003802319860000211
图9示出了在基板802上的鳍片804上和鳍片804之间的,从可流动膜808转换的转换和致密化的膜814的截面图。在一些示例中,可流动膜808从包括高浓度的氮和/或氢的硅基电介质转换成被致密化的二氧化硅。在这种示例中,转换并致密化的膜814是致密化的氧化硅,其与最初沉积的可流动膜808是不同的材料组成。
根据图7的方块712,蚀刻在方块706处处理的膜。在一些示例中,在方块706的处理之后,通过与处理腔室耦接的传送腔室的传送机器人将基板802从处理腔室中移除。例如,如上所述,可以从多压力处理腔室300的第一腔室302中去除基板802。基板802可以被传送到处理系统100内的另一处理腔室或到另一处理系统的处理腔室。蚀刻可通过任何适当的蚀刻处理进行。在一些示例中,蚀刻处理是湿蚀刻,但是也可以实施干蚀刻。此外,湿蚀刻可使用稀释氢氟酸(dHF),其可以对氧化硅具有选择性。在一些示例中,实施100:1dHF溶液以蚀刻经转换和致密化的氧化硅膜。如上所述,方块706的蚀刻的蚀刻速率可以更均匀且更低,这可以更容易地控制。
图10示出了隔离结构(例如,STI)的截面图,该隔离结构在基板802上的鳍片804之间的沟槽806中形成有经转换和致密化的膜814,例如,在蚀刻经转换和致密化的膜814之后。作为蚀刻处理的结果,鳍片804从相邻的隔离结构之间突出。隔离结构的顶表面(例如,经转换和致密化的膜814的顶表面)可以从鳍片804的顶表面凹进任何深度,并且图10的图示仅是示例。
鳍片804及其间的隔离结构可随后用以形成任何适当的装置结构。例如,鳍片804可以用于形成FinFET。栅极结构可形成在鳍片804上并纵向垂直于鳍片804。栅极结构可包括沿着鳍片的表面的栅极电介质(例如,高k栅极电介质)、栅极电介质上的一或多个功函数调整层、以及功函数调整层上的金属填充。栅极结构可以在栅极结构下方的相应鳍片804中界定沟道区域。源极/漏极区域(例如,外延源极/漏极区域)可以在鳍片中形成在沟道区域的相对侧上。栅极结构、沟道区域、和源极/漏极区域一起可形成FinFET。
尽管前述内容针对本揭示内容的各种示例,但是在不脱离本揭示内容的基本范围的情况下,可以设计其他和进一步的示例,并且其范围由所附权利要求书确定。

Claims (20)

1.一种半导体处理系统,包括:
处理腔室;和
系统控制器,包括处理器和存储器,所述存储器储存指令,所述指令在由所述处理器执行时使所述系统控制器:
控制在所述处理腔室中的第一处理,所述第一处理在基板上实行,所述基板上具有通过可流动处理沉积的膜,所述第一处理包括稳定在所述膜中的键以形成经稳定的膜;和
控制在所述处理腔室中的第二处理,所述第二处理在其上具有所述膜的所述基板上实行,所述第二处理包括致密化所述经稳定的膜。
2.如权利要求1所述的半导体处理系统,其中:
所述第一处理在第一压力下实行;且
所述第二处理在大于所述第一压力的第二压力下实行。
3.如权利要求1所述的半导体处理系统,其中:
所述第一处理的实行包括使第一处理气体组成流动;且
所述第二处理的实行包括使不同于所述第一处理气体组成的第二处理气体组成流动。
4.如权利要求1所述的半导体处理系统,其中:
所述第一处理在第一温度下实行;且
所述第二处理在大于所述第一温度的第二温度下实行。
5.如权利要求1所述的半导体处理系统,其中:
所述第一处理进一步包括将所述膜转换为不同的组成。
6.如权利要求1所述的半导体处理系统,其中:
所述第一处理的实行包括使第一处理气体流动,所述第一处理气体包括氧气、臭氧、一氧化二氮、一氧化氮、或其组合;且
所述第二处理的实行包括使第二处理气体流动,所述第二处理气体包括蒸汽、氨、一氧化二氮、一氧化氮、或其组合。
7.如权利要求1所述的半导体处理系统,其中:
所述第一处理的实行包括使包括氨的第一处理气体流动;且
所述第二处理的实行包括使第二处理气体流动,所述第二处理气体包括蒸汽、一氧化二氮、一氧化氮、或其组合。
8.如权利要求1所述的半导体处理系统,其中:
所述第一处理的实行包括使包括蒸汽的第一处理气体流动,并且所述第一处理在第一压力和第一温度下实行;且
所述第二处理的实行包括使第二处理气体流动,所述第二处理气体包括蒸汽、氨、一氧化二氮、一氧化氮、或其组合,并且所述第二处理在第二压力和第二温度下实行,所述第二压力大于所述第一压力,所述第二温度大于所述第一温度。
9.如权利要求1所述的半导体处理系统,进一步包括流体接到所述处理腔室的远程等离子体源,其中所述指令在由所述处理器执行时使所述系统控制器在所述第一处理期间、所述第二处理期间、或所述第一处理和所述第二处理两者期间,在所述远程等离子体源中点燃等离子体。
10.一种用于半导体处理的方法,所述方法包括:
将其上具有通过可流动处理沉积的膜的基板传送到处理腔室中;
在所述处理腔室中对所述基板上的所述膜实行第一处理,所述第一处理包括稳定所述膜中的键以形成经稳定的膜;和
在所述处理腔室内对所述基板上的所述膜实行第二处理,所述第二处理包括致密化所述经稳定的膜。
11.如权利要求10所述的方法,其中:
所述第一处理在第一压力下实行;且
所述第二处理在大于所述第一压力的第二压力下实行。
12.如权利要求10所述的方法,其中:
实行所述第一处理包括使第一处理气体组成流动;且
实行所述第二处理包括使不同于所述第一处理气体组成的第二处理气体组成流动。
13.如权利要求10所述的方法,其中:
实行所述第一处理包括将所述膜转换成不同的组成。
14.如权利要求10所述的方法,其中:
所述第一处理的实行包括使第一处理气体流动,所述第一处理气体包括氧气、臭氧、一氧化二氮、一氧化氮、或其组合;且
所述第二处理的实行包括使第二处理气体流动,所述第二处理气体包括蒸汽、氨、一氧化二氮、一氧化氮、或其组合。
15.如权利要求10所述的方法,其中:
所述第一处理的实行包括使包括氨的第一处理气体流动;且
所述第二处理的实行包括使第二处理气体流动,所述第二处理气体包括蒸汽、一氧化二氮、一氧化氮、或其组合。
16.如权利要求10所述的方法,其中:
所述第一处理的实行包括使包括蒸汽的第一处理气体流动,并且所述第一处理在第一压力和第一温度下实行;且
所述第二处理的实行包括使第二处理气体流动,所述第二处理气体包括蒸汽、氨、一氧化二氮、一氧化氮、或其组合,并且所述第二处理在第二压力和第二温度下实行,所述第二压力大于所述第一压力,所述第二温度大于所述第一温度。
17.一种非暂态计算机可读取储存介质,所述非暂态计算机可读取储存介质储存指令,所述指令在由处理器执行时,使计算机系统实行操作,所述操作包括:
控制处理系统以在所述处理系统的处理腔室中实行第一处理,所述第一处理在基板上实行,所述基板上具有通过可流动处理沉积的膜,所述第一处理包括稳定在所述膜中的键以形成经稳定的膜;和
控制所述处理系统以在所述处理腔室中实行第二处理,所述第二处理在其上具有所述经稳定的膜的所述基板上实行,所述第二处理包括致密化所述经稳定的膜。
18.如权利要求17所述的非暂态计算机可读取储存介质,其中:
使第一处理气体组成流动而实行所述第一处理;且
使不同于所述第一处理气体组成的第二处理气体组成流动而实行所述第二处理。
19.如权利要求17所述的非暂态计算机可读取储存介质,其中:
所述第一处理在第一压力下实行;且
所述第二处理在大于所述第一压力的第二压力下实行。
20.如权利要求17所述的非暂态计算机可读取储存介质,其中所述第一处理进一步包括将所述膜转换成不同的组成。
CN202180015194.0A 2020-02-17 2021-01-26 用于可流动间隙填充膜的多步骤处理 Pending CN115104176A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/792,646 US11901222B2 (en) 2020-02-17 2020-02-17 Multi-step process for flowable gap-fill film
US16/792,646 2020-02-17
PCT/US2021/014991 WO2021167754A1 (en) 2020-02-17 2021-01-26 Multi-step process for flowable gap-fill film

Publications (1)

Publication Number Publication Date
CN115104176A true CN115104176A (zh) 2022-09-23

Family

ID=77273564

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180015194.0A Pending CN115104176A (zh) 2020-02-17 2021-01-26 用于可流动间隙填充膜的多步骤处理

Country Status (6)

Country Link
US (2) US11901222B2 (zh)
JP (1) JP7433457B2 (zh)
KR (1) KR20220143082A (zh)
CN (1) CN115104176A (zh)
TW (1) TW202139389A (zh)
WO (1) WO2021167754A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers

Family Cites Families (606)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
DE69233222T2 (de) 1991-05-28 2004-08-26 Trikon Technologies Ltd., Thornbury Verfahren zum Füllen eines Hohlraumes in einem Substrat
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
US6929784B1 (en) 1999-03-04 2005-08-16 Surface Technology Systems Plc Chlorotrifuorine gas generator system
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6387764B1 (en) 1999-04-02 2002-05-14 Silicon Valley Group, Thermal Systems Llc Trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2003243374A (ja) 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
AU2003275239A1 (en) 2002-09-30 2004-04-23 Miasole Manufacturing apparatus and method for large-scale production of thin-film solar cells
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
JP4895803B2 (ja) 2003-02-04 2012-03-14 アプライド マテリアルズ インコーポレイテッド 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
CN100408902C (zh) 2003-05-13 2008-08-06 应用材料股份有限公司 密封一处理室一开口的方法与装置
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
TW200527491A (en) 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006098101A1 (ja) 2005-03-16 2006-09-21 Nec Corporation 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
WO2007043383A1 (ja) 2005-10-07 2007-04-19 Nikon Corporation 微小構造体およびその製造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP2009516388A (ja) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
JP2010525530A (ja) 2007-04-30 2010-07-22 アイファイアー・アイピー・コーポレーション 厚膜誘電性エレクトロルミネセントディスプレイ用の積層厚膜誘電体構造
JP2010528475A (ja) 2007-05-25 2010-08-19 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造システムを組み立てる及び運転する方法及び装置
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
WO2008156687A1 (en) 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8668868B2 (en) 2007-10-26 2014-03-11 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
EP3573092B1 (en) 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) * 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
KR20110129392A (ko) 2009-02-15 2011-12-01 자콥 우드러프 균형 전구체(들)로부터 형성된 태양전지 흡수제층
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
KR101841236B1 (ko) 2009-04-03 2018-03-22 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
CN102473748B (zh) 2009-07-01 2014-08-20 三菱电机株式会社 薄膜太阳能电池及其制造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (ja) 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
KR101995704B1 (ko) 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR20130055607A (ko) 2010-04-23 2013-05-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
WO2013001482A1 (en) 2011-06-28 2013-01-03 Dynamic Micro Systems Semiconductor stocker systems and methods.
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
WO2013009505A2 (en) 2011-07-13 2013-01-17 Applied Materials, Inc. Methods of manufacturing thin film transistor devices
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
CN103999198B (zh) 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
WO2013083129A1 (en) 2011-12-08 2013-06-13 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
WO2013129701A1 (ja) 2012-03-02 2013-09-06 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101750633B1 (ko) 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US20150357232A1 (en) 2013-01-22 2015-12-10 Ps4 Luxco S.A.R.L. Method for manufacturing semiconductor device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
CN105164799B (zh) 2013-03-15 2020-04-07 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
CN105453230B (zh) 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
SG11201600447YA (en) 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
TWI523222B (zh) 2013-10-14 2016-02-21 國立交通大學 含氮化鎵之半導體結構
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
WO2015095803A1 (en) 2013-12-22 2015-06-25 Applied Materials, Inc. Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9338834B2 (en) 2014-01-17 2016-05-10 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for microwave-radiation annealing
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
EP3155650A4 (en) 2014-06-16 2018-03-14 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102079501B1 (ko) 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9613859B2 (en) 2015-01-09 2017-04-04 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
JP6585724B2 (ja) 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI723993B (zh) 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
WO2016196105A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US9711449B2 (en) 2015-06-05 2017-07-18 Tokyo Electron Limited Ruthenium metal feature fill for interconnects
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
FR3042400A1 (fr) 2015-10-15 2017-04-21 Essilor Int Dispositif de test du comportement visuel d'un individu et methode de determination d'au moins un parametre de conception optique d'une lentille ophtalmique utilisant un tel dispositif
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102513161B1 (ko) 2016-03-11 2023-03-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 복합체 및 트랜지스터
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018183287A1 (en) 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR20230146121A (ko) 2017-04-21 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
KR20230130177A (ko) * 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
KR102306675B1 (ko) 2017-05-19 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 액체 및 고체 유출물의 수집 및 가스 유출물로의 후속 반응을 위한 장치
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
CN111656510A (zh) 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Also Published As

Publication number Publication date
JP2023513796A (ja) 2023-04-03
US20210257252A1 (en) 2021-08-19
US20240128121A1 (en) 2024-04-18
TW202139389A (zh) 2021-10-16
WO2021167754A1 (en) 2021-08-26
US11901222B2 (en) 2024-02-13
JP7433457B2 (ja) 2024-02-19
KR20220143082A (ko) 2022-10-24

Similar Documents

Publication Publication Date Title
US7972933B2 (en) Method of selective nitridation
KR101946144B1 (ko) 기판 처리 방법
JP2012216631A (ja) プラズマ窒化処理方法
CN110581067A (zh) 蚀刻方法及蚀刻装置
US20090065816A1 (en) Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
CN110783188B (zh) 蚀刻方法和蚀刻装置
US9384993B2 (en) Oxide etching method
CN108701599B (zh) 基板处理方法
US20240128121A1 (en) Multi-step process for flowable gap-fill film
US8994089B2 (en) Interlayer polysilicon dielectric cap and method of forming thereof
JP7349861B2 (ja) エッチング方法、ダメージ層の除去方法、および記憶媒体
TW202416418A (zh) 用於可流動間隙填充膜的多步驟處理
US20130087099A1 (en) In-Situ Hydroxylation Apparatus
TWI839600B (zh) 低溫無蒸汽氧化物間隙填充
JP7209567B2 (ja) エッチング方法およびエッチング装置
JP7153499B2 (ja) 酸素含有被処理体の処理方法及び処理装置
WO2024070685A1 (ja) 成膜方法、成膜装置、および成膜システム
WO2022102421A1 (ja) エッチング方法およびエッチング装置
TW202140837A (zh) 低溫無蒸汽氧化物間隙填充
CN114639602A (zh) 蚀刻方法和蚀刻装置
JP2009049217A (ja) 半導体デバイスの製造方法。
KR20200036778A (ko) 반송 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination