KR20220143082A - 유동성 갭 충전 막을 위한 다단계 프로세스 - Google Patents

유동성 갭 충전 막을 위한 다단계 프로세스 Download PDF

Info

Publication number
KR20220143082A
KR20220143082A KR1020227032039A KR20227032039A KR20220143082A KR 20220143082 A KR20220143082 A KR 20220143082A KR 1020227032039 A KR1020227032039 A KR 1020227032039A KR 20227032039 A KR20227032039 A KR 20227032039A KR 20220143082 A KR20220143082 A KR 20220143082A
Authority
KR
South Korea
Prior art keywords
chamber
pressure
film
substrate
processing
Prior art date
Application number
KR1020227032039A
Other languages
English (en)
Inventor
막시밀리안 클레몬스
니콜라스 베키아리스
스리니바스 디. 네마니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220143082A publication Critical patent/KR20220143082A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

일반적으로, 본 명세서에 설명된 예들은 기판 상에 증착된 유동성 갭 충전(gap-fill) 막에 대해 동일한 프로세싱 챔버(processing chamber)에서 다수의 프로세스들(processes)을 수행하기 위한 방법들 및 프로세싱 시스템들에 관한 것이다. 예에서, 반도체 프로세싱 시스템은 프로세싱 챔버 및 시스템 제어기를 포함한다. 시스템 제어기는 프로세서(processor) 및 메모리(memory)를 포함한다. 메모리는 명령들을 저장하고, 명령들은 프로세서에 의해 실행될 때, 시스템 제어기로 하여금, 유동성 프로세스에 의해 증착된 막을 상부에 갖는 기판 상에서 수행되는, 프로세싱 챔버 내에서의 제1 프로세스를 제어하게 하고, 막을 상부에 갖는 기판 상에서 수행되는, 프로세싱 챔버 내에서의 제2 프로세스를 제어하게 한다. 제1 프로세스는 안정화된 막을 형성하기 위해 막의 결합들(bonds)을 안정화시키는 것을 포함한다. 제2 프로세스는 안정화된 막을 고밀화하는 것을 포함한다.

Description

유동성 갭 충전 막을 위한 다단계 프로세스
[0001] 본 명세서에 설명된 예들은 일반적으로 반도체 프로세싱(processing) 분야에 관한 것으로서, 보다 구체적으로는, 예를 들어 동일한 프로세싱 챔버(processing chamber)에서, 기판 상의 유동성 갭 충전(gap-fill) 막에 대해 다단계 프로세스(process)를 수행하는 것에 관한 것이다.
[0002] 나노미터(nanometer) 및 더 작은 피처들(features)을 안정적으로 생산하는 것은 반도체 디바이스들(devices)의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large-scale integration)를 위한 기술 과제들 중 하나이다. 회로 기술의 한계들에 부딪힘에 따라, VLSI 및 ULSI 기술의 치수들이 축소되면서 프로세싱 능력들에 대한 추가 요구 사항들이 부과되었다. 집적 회로 컴포넌트들(components)의 치수들이 감소됨에 따라(예를 들어, 나노미터 치수들), 컴포넌트들을 제조하기 위해 사용되는 재료들 및 프로세스들은 일반적으로 만족스러운 수준들의 전기적 성능을 얻기 위해 신중하게 선택된다.
[0003] 집적 회로 컴포넌트들의 감소된 치수들은 컴포넌트들 사이의 점점 더 작은 갭들로 이어질 수 있다. 더 큰 치수들에서 유사한 갭들을 충전하는 데 적합했을 수 있는 일부 프로세스들은 더 작은 치수들에서 갭들을 충전하는 데 적합하지 않을 수 있다. 따라서, 집적 회로의 디바이스들의 만족스러운 성능을 유지하면서 더 작은 치수들로 복잡한 디바이스들을 형성할 수 있는 프로세스 및 프로세싱 시스템에 대한 필요성이 존재한다.
[0004] 예들은 반도체 프로세싱 시스템을 포함한다. 반도체 프로세싱 시스템은 프로세싱 챔버 및 시스템 제어기를 포함한다. 시스템 제어기는 프로세서 및 메모리를 포함한다. 메모리는 명령들을 저장하고, 명령들은 프로세서에 의해 실행될 때, 시스템 제어기로 하여금, 유동성 프로세스에 의해 증착된 막을 상부에 갖는 기판 상에서 수행되는, 프로세싱 챔버 내에서의 제1 프로세스를 제어하게 하고, 막을 상부에 갖는 기판 상에서 수행되는, 프로세싱 챔버 내에서의 제2 프로세스를 제어하게 한다. 제1 프로세스는 안정화된 막을 형성하기 위해 막의 결합들(bonds)을 안정화시키는 것을 포함한다. 제2 프로세스는 안정화된 막을 고밀화하는 것을 포함한다.
[0005] 예들은 또한 반도체 프로세싱을 위한 방법을 포함한다. 유동성 프로세스에 의해 증착된 막을 상부에 갖는 기판은 프로세싱 챔버 내로 이송된다. 프로세싱 챔버 내에서 기판 상의 막에 대해 제1 프로세스가 수행된다. 제1 프로세스는 안정화된 막을 형성하기 위해 막의 결합들을 안정화시키는 것을 포함한다. 프로세싱 챔버 내에서 기판 상의 막에 대해 제2 프로세스가 수행된다. 제2 프로세스는 안정화된 막을 고밀화하는 것을 포함한다.
[0006] 예들은, 프로세서에 의해 실행될 때, 컴퓨터 시스템으로 하여금 동작들을 수행하게 하는 명령들을 저장하는 비-일시적 컴퓨터 판독 가능 저장 매체를 더 포함한다. 동작들은: 프로세싱 시스템의 프로세싱 챔버 내에서 제1 프로세스를 수행하도록 프로세싱 시스템을 제어하는 동작, 및 프로세싱 챔버 내에서 제2 프로세스를 수행하도록 프로세싱 시스템을 제어하는 동작을 포함한다. 제1 프로세스는 유동성 프로세스에 의해 증착된 막을 상부에 갖는 기판 상에서 수행된다. 제1 프로세스는 안정화된 막을 형성하기 위해 막의 결합들을 안정화시키는 것을 포함한다. 제2 프로세스는 안정화된 막을 상부에 갖는 기판 상에서 수행된다. 제2 프로세스는 안정화된 막을 고밀화하는 것을 포함한다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 보다 구체적인 설명이 예들을 참조로 하여 이루어질 있는데, 그 예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 일부 예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 예들을 허용할 수 있기 때문이다.
[0008] 도 1은 일부 예들에 따른 다중 챔버 프로세싱 시스템의 개략적인 평면도를 도시한다.
[0009] 도 2는 일부 예들에 따른 프로세싱을 위한 고압 환경 및 프로세싱을 위한 저압 환경을 생성하도록 구성된 다중 압력 프로세싱 챔버의 개략도를 도시한다.
[0010] 도 3, 도 4, 도 5, 및 도 6은 일부 예들에 따른 다중 압력 프로세싱 챔버의 각각의 예들을 도시한다.
[0011] 도 7은 일부 예들에 따른 반도체 프로세싱을 위한 방법의 흐름도이다.
[0012] 도 8, 도 9, 및 도 10은 일부 예들에 따른 도 7의 방법의 양태들을 예시하는 중간 반도체 구조들의 단면도들이다.
[0013] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다.
[0014] 일반적으로, 본 명세서에 설명된 예들은 기판 상에 증착된 유동성 갭 충전 막에 대해 동일한 프로세싱 챔버에서 다수의 프로세스들을 수행하기 위한 방법들 및 프로세싱 시스템들에 관한 것이다. 다중 프로세스는 유동성 프로세스에 의해 증착된 막의 결합들을 안정화하는 것 및 후속적으로 막을 고밀화하는 것을 포함할 수 있다.
[0015] 유동성 막에 대해 본 명세서에 설명된 예시적인 프로세스들을 수행하면 막의 품질을 개선할 수 있다. 유동성 막들은 일반적으로 갭들, 특히 높은 종횡비 갭들(예를 들어, 깊이 대 폭의 종횡비가 10:1보다 큼) 내로 유동할 수 있는 이들의 능력으로 인해 사용된다. 유동성 막들은 일반적으로 저밀도를 포함하여 열악한 품질을 갖는다. 핫 워터 딥(hot water dip)을 구현하는 프로세스들을 포함하여, 유동성 막들의 품질을 향상시키려는 이전의 시도들이 있었다. 이러한 프로세스들은 각각 상이한 프로세스를 구현하는 다중 도구들 또는 프로세싱 챔버들을 사용하여 구현되었다. 이러한 프로세스들에도 불구하고, 막의 품질이 열악한 상태로 유지될 수 있다는 것이 밝혀졌다. 예를 들어, 막의 습식 에칭율은 예를 들어 막 전체의 밀도의 불균일성 때문에 막의 에칭 깊이에 따라 변할 수 있다. 추가적으로, 습식 에칭율은, 변화하더라도, 비교적 높을 수 있으며, 이는 에칭율의 임의의 편차를 발생시켜 결과에 상당한 차이를 초래할 수 있다. 이로 인해 갭들에 남아 있는 막의 양들이 상이할 수 있다. 여기에 설명된 예들은 막의 밀도를 개선하는 것과 같이, 막의 품질을 개선시킬 수 있다. 개선된 밀도는, 보다 쉽게 제어될 수 있고 에칭율로부터의 편차들로 인한 결과들의 상당한 차이들에 덜 민감할 수 있는 보다 균일하고 낮은 에칭율을 달성할 수 있다. 또한, 이러한 이점들을 달성하기 위해 막에 더 적은 프로세싱이 수행될 수 있으며, 이는 프로세싱 및 큐 시간(queue time)을 더욱 감소시킬 수 있다. 감소된 프로세싱 및 큐 시간은 결과적으로 최종 제품을 제조하기 위한 비용을 절감시킬 수 있다. 추가적으로, 고품질 막은 일부 애플리케이션들에서 전기적 특성들을 개선시킬 수 있다. 이들 및/또는 다른 이점들은 다양한 예들에 따라 달성될 수 있다.
[0016] 다양한 상이한 예들이 아래에 설명된다. 일부 예들은 기판 상의 핀들(fins) 사이에 격리 구조들(예를 들어, STIs(shallow trench isolations))을 형성하는 맥락에서 본 명세서에서 설명된다. 이러한 프로세싱에 의해 형성된 격리 구조들은 예를 들어 핀 전계 효과 트랜지스터들(FinFETs)에서 구현될 수 있다. 이러한 예들은 다양한 양태들의 이해를 위해 제공된다. 다른 예들이 상이한 맥락들에서 구현될 수 있다. 예를 들어, 일부 예들은 임의의 하부 구조 상에 유동성 프로세스(예를 들어, 유동성 화학 기상 증착(FCVD) 또는 스핀-온(spin-on))에 의해 증착된 임의의 막으로 구현될 수 있다. 상이한 예들의 다수의 특징들이 프로세스 흐름 또는 시스템에서 함께 설명될 수 있지만, 다수의 특징들은 각각 별도로 또는 개별적으로 및/또는 상이한 프로세스 흐름 또는 상이한 시스템에서 구현될 수 있다. 추가적으로, 다양한 프로세스 흐름들이 순서대로 수행되는 것으로 설명되며; 다른 예들은 상이한 순서들로 및/또는 더 많은 또는 더 적은 동작들로 프로세스 흐름들을 구현할 수 있다.
[0017] 도 1은 일부 예들에 따른 다중 챔버 프로세싱 시스템(100)의 개략적인 평면도를 도시한다. 일반적으로, 다중 챔버 기판 프로세싱 시스템은 고압 및 저압과 같은 상이한 환경들에서 프로세스들을 수행하도록 구성된 적어도 하나의 프로세싱 챔버를 포함한다.
[0018] 프로세싱 시스템(100)은 2 개의 이송 챔버들(102, 104); 이송 챔버들(102, 104)에 각각 위치결정된 이송 로봇들(106, 108); 이송 챔버들(102, 104) 중 각각의 이송 챔버들에 커플링(couple)되어 배치된 프로세싱 챔버들(110, 112, 114, 116, 118, 120); 제1 이송 챔버(102)에 커플링되어 배치된 2 개의 탈기 챔버들(122); 2 개의 이송 챔버들(102, 104) 각각에 커플링되고 그 사이에 배치된 통과 챔버들(pass-through chambers)(124); 및 제어기(126)를 포함한다. 프로세싱 시스템(100)은 로드록(load lock) 챔버들(128) 및 팩토리 인터페이스 모듈(factory interface module)(130)을 더 포함할 수 있다.
[0019] 제1 이송 챔버(102)는 인접한 프로세싱 챔버들(110, 112) 및 탈기 챔버들(122)과 인터페이스하는 중앙 진공 챔버이다. 제1 이송 챔버(102)는 프로세싱 챔버들(110, 112), 탈기 챔버들(122), 통과 챔버들(124), 및 2 개의 로드록 챔버들(128)과 커플링된다. 프로세싱 챔버들(110, 112) 및 탈기 챔버들(122) 각각은 각각의 챔버와 제1 이송 챔버(102) 사이에 배치된 격리 밸브(valve)를 갖는다. 통과 챔버들(124) 및 로드록 챔버들(128)은 또한 각각의 챔버(124, 128)와 제1 이송 챔버(102) 사이에 배치된 각각의 격리 밸브들을 갖는다. 각각의 격리 밸브는 각각의 챔버가 제1 이송 챔버(102)로부터 유체적으로 격리되고 이에 유체적으로 연결되도록 허용한다. 챔버의 격리 밸브는 각각의 챔버가 예를 들어, 제1 이송 챔버(102)와 상이한 압력 레벨에서 작동할 수 있게 하고, 각각의 챔버에 사용되거나 또는 도입되는 임의의 가스들이 제1 이송 챔버(102) 내로 도입되는 것을 방지한다. 각각의 로드록 챔버(128)는 외부 환경으로 개방되는 도어(door), 예를 들어 팩토리 인터페이스 모듈(130)로 개방되는 도어를 갖는다.
[0020] 제2 이송 챔버(104)는 인접한 프로세싱 챔버들(114, 116, 118, 120)과 인터페이스하는 중앙 진공 챔버이다. 제2 이송 챔버(104)는 프로세싱 챔버들(114, 116, 118, 120) 및 통과 챔버들(124)과 커플링된다. 프로세싱 챔버들(114, 116, 118, 120) 각각은 각각의 챔버와 제2 이송 챔버(104) 사이에 배치된 격리 밸브를 갖는다. 통과 챔버들(124)은 또한 각각의 챔버(124)와 제2 이송 챔버(104) 사이에 배치된 각각의 격리 밸브들을 갖는다. 각각의 격리 밸브는 각각의 챔버가 제2 이송 챔버(104)로부터 유체적으로 격리되도록 허용한다. 챔버의 격리 밸브는 각각의 챔버가 예를 들어, 제2 이송 챔버(104)와 상이한 압력 레벨에서 작동할 수 있게 하고, 각각의 챔버에 사용되거나 또는 도입되는 임의의 가스들이 제2 이송 챔버(104) 내로 도입되는 것을 방지한다.
[0021] 제1 이송 챔버(102) 및 제2 이송 챔버(104)는 냉각 또는 예열 챔버들을 포함할 수 있는 통과 챔버들(124)에 의해 분리된다. 통과 챔버들(124)은 또한 제1 이송 챔버(102) 및 제2 이송 챔버(104)가 상이한 압력들에서 작동할 때 기판 핸들링 동안 펌핑-다운(pump down)되거나 환기될 수 있다.
[0022] 도시되지 않았지만, 가스 및 압력 제어 시스템(예를 들어, 복수의 진공 펌프들을 포함함)이 각각의 이송 챔버(102, 104), 각각의 통과 챔버(124), 및 프로세싱 및 탈기 챔버들(110-122) 각각과 유체 연통하도록 배치되어, 각각의 챔버들의 압력들을 독립적으로 조절한다. 가스 및 압력 제어 시스템은 하나 이상의 가스 펌프들(예를 들어, 터보 펌프들(turbo pumps), 크라이오 펌프들(cryo-pumps), 러핑 펌프들(roughing pumps) 등), 가스 소스들(sources), 다양한 밸브들, 및 다양한 챔버들에 유체적으로 커플링된 도관들을 포함할 수 있다. 가스 및 압력 제어 시스템은 임의의 챔버를 목표 압력으로 유지할 수 있다.
[0023] 프로세싱 시스템(100)은 프로세싱 시스템(100)의 동작들, 프로세스들, 또는 기능들을 제어하도록 프로그래밍된 제어기(126)에 의해 자동화된다. 제어기(126)는 기판을 프로세싱하기 위해 프로세싱 시스템(100)의 각각의 챔버들에 대한 개별 동작들을 작동시킬 수 있다. 예를 들어, 제어기(126)는 프로세싱 시스템(100)의 챔버들(102-124)의 직접 제어를 사용하여 또는 챔버들(102-124)과 관련된 제어기들을 제어함으로써 프로세싱 시스템(100)의 동작을 제어할 수 있다. 작동 시, 제어기(126)는 프로세싱 시스템(100)의 성능을 조정하기 위해 각각의 챔버들로부터의 데이터 수집 및 피드백(feedback)을 가능하게 한다. 제어기(126)는 일반적으로 프로세서(132)(예를 들어, 중앙 프로세싱 유닛(CPU) 또는 다른 프로세서), 메모리(134), 및 지원 회로들(136)을 포함할 수 있다. 프로세서(132)는 산업 설정에서 사용될 수 있는 임의의 형태의 범용 프로세서 중 하나일 수 있다. 메모리(134)(예를 들어, 비-일시적 컴퓨터 판독 가능 저장 매체)는 프로세서에 의해 액세스 가능하고, 랜덤 액세스 메모리(random access memory)(RAM), 읽기 전용 메모리(ROM), 플로피 디스크(floppy disk), 하드 디스크(hard disk), 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 스토리지(storage)와 같은 메모리 중 하나 이상일 수 있다. 지원 회로들(136)은 프로세서에 커플링될 수 있고, 캐시(cache), 클록 회로들(clock circuits), 입력/출력 서브시스템들, 전력 공급기들 등을 포함할 수 있다. 본 명세서에 개시된 다양한 방법들은 일반적으로 예를 들어 소프트웨어 루틴(software routine)으로서 메모리(136)에 저장된 컴퓨터 명령 코드를 실행하는 프로세서(132)에 의해 프로세서(132)의 제어 하에 구현될 수 있다. 컴퓨터 명령 코드가 프로세서(132)에 의해 실행될 때, 프로세서(132)는 다양한 방법들에 따라 프로세스들을 수행하도록 챔버들을 제어하고, 그리고/또는 챔버들 내의 프로세스들을 제어한다.
[0024] 기판들(도시되지 않음)은 로드록 챔버들(128)을 통해 프로세싱 시스템(100) 내로 로딩된다. 예를 들어, 팩토리 인터페이스 모듈(130)이, 존재한다면, 인간 오퍼레이터(operator) 또는 자동화된 기판 핸들링 시스템으로부터 하나 이상의 기판들, 예를 들어 웨이퍼들, 웨이퍼들의 카세트들, 또는 웨이퍼들의 봉입된 포드들(pods)을 수용하는 역할을 할 것이다. 팩토리 인터페이스 모듈(130)은, 적용 가능한 경우, 기판들의 카세트들 또는 포드들을 개방할 수 있고, 기판들을 로드록 챔버들(128)로 또는 로드록 챔버들로부터 이동시킬 수 있다. 제1 이송 챔버(102)는 로드록 챔버들(128)로부터 기판들을 수용하고, 기판들은 통과 챔버들(124)을 통하는 식으로 이송 챔버들(102, 104) 전체에 걸쳐 이송될 수 있다. 다양한 챔버들(110-122)은 이송 챔버들(102, 104)로부터 기판들을 수용하고, 기판들을 프로세싱하고, 기판들이 이송 챔버들(102, 104)로 다시 이송될 수 있게 한다.
[0025] 정상 작동 시, 기판들이 로딩된 카세트는 팩토리 인터페이스 모듈(130)로부터 도어(door)를 통해 로드록 챔버(128)에 배치되고, 도어는 폐쇄된다. 그런 다음, 로드록 챔버(128)는 제1 이송 챔버(102)와 동일한 압력으로 배기되고, 로드록 챔버(128)와 제1 이송 챔버(102) 사이의 격리 밸브가 개방된다. 제1 이송 챔버(102)의 이송 로봇(106)이 해당 포지션으로 이동되고, 하나의 기판이 로드록 챔버(128)로부터 제거된다. 로드록 챔버(128)에는 바람직하게는, 하나의 기판이 카세트로부터 제거되도록 하기 위해 엘리베이터(elevator) 기구가 장착되고, 엘리베이터는 카세트 내의 웨이퍼들의 스택(stack)을 이동시켜, 다른 웨이퍼가 로봇 블레이드(robot blade) 상에 위치결정될 수 있도록 이송 평면에 이 다른 웨이퍼를 위치결정시킨다.
[0026] 그런 다음, 제1 이송 챔버(102) 내의 이송 로봇(106)은 기판이 프로세싱 챔버 포지션과 정렬되도록 기판과 함께 회전한다. 프로세싱 챔버는 임의의 독성 가스들이 플러싱되어, 이송 챔버와 동일한 압력 레벨이 되고, 프로세싱 챔버와 제1 이송 챔버(102) 사이의 격리 밸브가 개방된다. 그런 다음, 이송 로봇(106)은 웨이퍼를 프로세싱 챔버 내로 이동시키고, 여기서 웨이퍼는 이송 로봇(106)으로부터 들어 올려진다. 그런 다음, 이송 로봇(106)은 프로세싱 챔버로부터 후퇴되고, 격리 밸브는 폐쇄된다. 그런 다음, 프로세싱 챔버는 일련의 동작들을 거쳐 웨이퍼에 대해 지정된 프로세스를 실행한다. 완료되면, 프로세싱 챔버는 제1 이송 챔버(102)와 동일한 환경으로 돌아가고, 격리 밸브는 개방된다. 이송 로봇(106)은 프로세싱 챔버로부터 웨이퍼를 제거하고, 그런 다음, 다른 동작을 위해 웨이퍼를 다른 프로세싱 챔버로 이동시키거나 또는 웨이퍼를 제2 이송 챔버(104)로 이송하기 위해 통과 챔버(124)로 이동시키거나, 또는 웨이퍼들의 전체 카세트가 프로세싱되었을 때 프로세싱 시스템(100)으로부터 제거되도록 웨이퍼를 로드록 챔버(128)에서 교체한다.
[0027] 이송 로봇(106)이 기판을 통과 챔버(124)로 이동시키면, 제1 이송 챔버(102) 내의 이송 로봇(106)은 기판이 통과 챔버(124) 포지션과 정렬되도록 기판과 함께 회전한다. 통과 챔버(124)는 이송 챔버와 동일한 압력 레벨이 되고, 통과 챔버(124)와 제1 이송 챔버(102) 사이의 격리 밸브가 개방된다. 그런 다음, 이송 로봇(106)은 웨이퍼를 통과 챔버(124) 내로 이동시키고, 여기서 웨이퍼는 이송 로봇(106)으로부터 들어 올려진다. 그런 다음, 이송 로봇(106)은 통과 챔버(124)로부터 후퇴되고, 격리 밸브는 폐쇄된다. 그런 다음, 통과 챔버(124)는 제2 이송 챔버(104)와, 예를 들어 압력을 포함하여, 동일한 환경으로 될 수 있다. 통과 챔버(124)가 제2 이송 챔버(104)와 동일한 환경으로 되돌아갈 때, 통과 챔버(124)와 제2 이송 챔버(104) 사이의 격리 밸브가 개방된다. 이송 로봇(108)은 통과 챔버(124)로부터 웨이퍼를 제거하고, 격리 밸브는 폐쇄된다. 그런 다음, 이송 로봇(108)은, 다른 동작을 위해, 제2 이송 챔버(104)에 커플링된 다른 프로세싱 챔버로 기판을 이동시킨다. 이송 로봇(108)은 제1 이송 챔버(102)에 커플링된 프로세싱 챔버로 기판을 이동시키는 이송 로봇(108)과 관련하여 위에서 설명된 바와 같이 제2 이송 챔버(104)에 커플링된 다른 프로세싱 챔버로 기판을 이동시킬 수 있다.
[0028] 그런 다음, 이송 로봇(108)은 예를 들어, 기판이 통과 챔버(124)를 통해 제2 이송 챔버(104)에 수용되었던 동작들의 역순으로 제1 이송 챔버(102)로의 이송을 위해 기판을 통과 챔버(124)로 이동시킬 수 있다. 제1 이송 챔버(102)의 이송 로봇(106)은 다른 동작을 위해 기판을 다른 프로세싱 챔버로 이동시키거나 또는 웨이퍼들의 전체 카세트가 프로세싱되었을 때 프로세싱 시스템(100)으로부터 제거되도록 웨이퍼를 로드록 챔버(128)에서 교체할 수 있다. 이송 로봇들(106, 108)은 상이한 프로세싱 챔버들 사이에서 기판을 지지하고 이동시키는 로봇 아암들(arms)(107, 109)을 각각 포함한다.
[0029] 프로세싱 챔버들(110-120)은 임의의 적절한 프로세싱 챔버이거나 또는 이를 포함할 수 있다. 프로세싱 챔버들(110-120) 중 하나 이상은 챔버 내의 예를 들어 상이한 압력들 등을 갖는 상이한 환경들을 사용하여 기판 상에서 프로세싱을 수행하도록 구성된 챔버이다. 다양한 예들이 아래에 설명되어 있다. 프로세싱 챔버들(110-120)을 위한 다른 예시적인 프로세싱 챔버들은 화학 기상 증착(CVD) 챔버, 원자층 증착(ALD) 챔버, 반응성 이온 에칭(RIE) 챔버, 급속 열 어닐링(RTA) 또는 급속 열 프로세싱(RTP) 챔버 등을 포함한다.
[0030] 다른 프로세싱 시스템들은 다른 구성들로 이루어질 수 있다. 예를 들어, 더 많은 또는 더 적은 프로세싱 챔버들이 이송 장치에 커플링될 수 있다. 예시된 예에서, 이송 장치는 이송 챔버들(102, 104) 및 통과 챔버들(124)을 포함한다. 다른 예들에서, 더 적은 또는 더 많은 이송 챔버들, 통과 챔버들, 및/또는 하나 이상의 홀딩 챔버들이 프로세싱 시스템에서 이송 장치로서 구현될 수 있다.
[0031] 도 2는 기판을 프로세싱하기 위한 고압 환경 및 기판을 프로세싱하기 위한 저압 환경을 생성하도록 구성된 다중 압력 프로세싱 챔버(200)의 개략도를 예시한다. 다중 압력 프로세싱 챔버(200)는 제1 챔버(202) 및 제2 챔버(204)를 포함한다. 제1 챔버(202)는 제2 챔버(204) 내에 배치되고 내부 챔버로 간주될 수 있고, 제2 챔버(204)는 외부 챔버로 간주될 수 있다. 또한, 다음 설명으로부터 명백한 바와 같이, 제1 챔버(202)는 고압 프로세싱을 가능하게 하도록 구성될 수 있고, 고압 챔버로 또한 간주될 수 있다. 제1 챔버(202) 및 제2 챔버(204)는, 일부 경우들에서, 함께 유체적으로 커플링되고 저압 프로세싱을 가능하게 하도록 구성될 수 있다. 제1 챔버(202) 내의 압력은 제2 챔버(204) 내의 압력과 독립적으로 제어될 수 있다.
[0032] 제어된 다중 압력 프로세싱 챔버(200)는 가스 전달 시스템(206), 진공 프로세싱 시스템(208), 및 제어기(210)를 더 포함한다. 일부 예들에서, 가스 전달 시스템(206) 및 진공 프로세싱 시스템(208)은 도 1의 프로세싱 시스템(100)의 가스 및 압력 제어 시스템의 적어도 일부이다. 일부 예들에서, 프로세싱 시스템(100)의 제어기(126)는 제어기(210)이거나 또는 이를 포함할 수 있다.
[0033] 가스 전달 시스템(206)은 예를 들어 가스 유동 도관들에 의해 제1 챔버(202)에 유체적으로 커플링되고, 제1 챔버(202)를 가압 및 감압하도록 작동 가능하다. 제1 챔버(202)는, 가스 전달 시스템(206)으로부터 프로세스 가스를 수용하고 예를 들어 적어도 1 바의 압력에서 고압을 확립하는 고압 프로세싱 챔버이다. 프로세스 가스는 산소 가스(O2), 오존 가스(O3), 아산화질소(N2O), 산화질소(NO), 증기(H2O), 암모니아 가스(NH3) 등, 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있다. 가스 전달 시스템(206)은 다중 압력 프로세싱 챔버(200)에서 수행되는 상이한 프로세스들에 대해 상이한 프로세스 가스 조성들을 가질 수 있는 제1 챔버로 프로세스 가스들을 전달하기 위해 예를 들어 제어기(210)에 의해 제어 가능한 가스 패널, 도관들, 및 밸브들의 조합을 포함할 수 있다. 제1 챔버(202)를 가압하기 위해, 가스 전달 시스템(206)은 프로세스 가스를 제1 챔버(202) 내로 도입한다. 가스 전달 시스템(206)은 배기 시스템(212)을 포함하여, 제1 챔버(202)로부터 프로세스 가스를 배기함으로써, 제1 챔버(202)를 감압할 수 있다.
[0034] 일부 구현예들에서, 다중 압력 프로세싱 챔버(200)는 원격 플라즈마 소스(RPS)(214)를 포함한다. 이러한 구현예들에서, RPS(214)는, 예를 들어, 가스 유동 도관들에 의해 가스 전달 시스템(206)에 유체적으로 커플링된다. RPS(214)는 제1 챔버(202)에 추가로 유체적으로 커플링된다. 가스 전달 시스템(206)으로부터 유동하는 프로세스 가스들은 RPS(214)의 플라즈마에서 점화될 수 있다. RPS(214)의 플라즈마로부터의 유출물들은 제1 챔버(202) 내로 유동할 수 있다. RPS(214)는 예를 들어 용량 커플링 플라즈마 소스 또는 유도 커플링 플라즈마 소스일 수 있다.
[0035] 진공 프로세싱 시스템(208)은 예를 들어, 가스 유동 도관들에 의해 제2 챔버(204)에 유체적으로 커플링되고, 제2 챔버(204)의 압력이 저압, 예를 들어, 진공 또는 거의 진공 압력이 되도록 제어하도록 작동 가능하다. 저압은 예를 들어 10 밀리토르 정도로 낮을 수 있다. 예를 들어, 진공 프로세싱 시스템(208)은 제2 챔버(204) 내의 압력을 거의 진공으로 낮춤으로써, 기판을 프로세싱하기 위한 적절한 저압 환경을 생성한다.
[0036] 밸브 조립체(216)는 제1 챔버(202)와 제2 챔버(204) 사이에 배치되고, 제1 챔버(202) 내의 압력을 제2 챔버(204) 내의 압력으로부터 격리시키도록 구성된다. 따라서, 제1 챔버(202) 내의 고압 환경은 제2 챔버(204) 내의 환경으로부터 분리되고 밀봉될 수 있다. 밸브 조립체(216)는 제1 챔버(202)를 제2 챔버(204)에 유체적으로 연결하고 그리고/또는 기판이 다중 압력 프로세싱 챔버(200)로부터 이송될 수 있게 하도록 개방될 수 있다.
[0037] 일부 구현예들에서, 다중 압력 프로세싱 챔버(200)는, 다중 압력 프로세싱 챔버(200)에 연결되고 외부 환경에 연결되는 포어라인(foreline)(218)을 포함한다. 격리 밸브(220)는 포어라인(218)을 따라 배열되어 제2 챔버(204) 내의 압력을 외부 환경의 압력으로부터 격리시킨다. 격리 밸브(220)는, 제2 챔버(204) 내의 압력을 조정하고 제2 챔버(204) 내의 가스들을 방출하도록 작동될 수 있다. 격리 밸브(220)는 제2 챔버(204) 내의 압력을 조절하기 위해 진공 프로세싱 시스템(208)과 함께 작동될 수 있다.
[0038] 일반적으로, 기판은 다중 압력 프로세싱 챔버(200) 내의 제1 챔버(202) 내에 배치되는 동안 다수의 프로세스들에 의해 프로세싱될 수 있다. 예를 들어, 기판은 제1 챔버(202) 내의 페데스탈(도시되지 않음)로 이송될 수 있다. 제1 챔버(202) 내로의 기판의 이송은 일부 예들에서 밸브 조립체(216)를 통해 이루어질 수 있다. 기판이 제1 챔버(202)의 페데스탈 상에 배치되면, 밸브 조립체(216)는 제1 챔버(202)의 내부 체적을 제2 챔버(204)의 내부 체적과 유체적으로 커플링하여 개방된 상태로 유지될 수 있다. 따라서, 진공 프로세싱 시스템(208)은 밸브 조립체(216)가 개방되어 있는 동안 제1 챔버(202) 및 제2 챔버(204) 내의 압력을 펌핑-다운할 수 있다. 따라서, 기판이 제1 챔버(202)의 페데스탈 상에 배치되는 동안 저압 프로세싱이 기판 상에서 수행될 수 있다. 저압 프로세싱은 가스 전달 시스템(206)으로부터, 진공 프로세싱 시스템(208)에 의해 배기될 수 있는 제1 챔버(202) 내로 프로세싱 가스를 유동시키는 것을 포함할 수 있다. 일부 예들에서, 저압 프로세싱은 RPS(214)에서 점화된 플라즈마를 사용하는 것을 포함할 수 있다.
[0039] 추가적으로, 기판이 제1 챔버(202)의 페데스탈 상에 배치되면, 밸브 조립체(216)는 제1 챔버(202)의 내부 체적을 제2 챔버(204)의 내부 체적으로부터 유체적으로 격리시키기 위해 폐쇄될 수 있다. 가스 전달 시스템(206)은 밸브 조립체(216)가 폐쇄된 상태에서 제1 챔버(202) 내에 고압을 생성할 수 있다. 따라서 고압 프로세싱은 기판이 제1 챔버(202)의 페데스탈 상에 배치되는 동안 기판 상에서 수행될 수 있다. 고압 프로세싱은 가스 전달 시스템(206)으로부터 제1 챔버(202) 내로 프로세스 가스를 유동시키는 것을 포함할 수 있다. 일부 예들에서, 고압 프로세싱은 RPS(214)에서 점화된 플라즈마를 사용하는 것을 포함할 수 있다.
[0040] 도 3 내지 도 6은 기판을 프로세싱하기 위한 다중 압력 프로세싱 챔버들의 다양한 예들을 도시한다. 이러한 다중 압력 프로세싱 챔버들의 챔버들의 압력은 도 2와 관련하여 설명된 것들과 유사한 시스템들을 사용하여 제어될 수 있다.
[0041] 도 3을 참조하면, 다중 압력 프로세싱 챔버(300)는 제1 챔버(302), 페데스탈(304), 제2 챔버(306), 및 제어기(예를 들어, 제어기(126))를 포함한다. 다음 설명으로부터 명백한 바와 같이, 제1 챔버(302)는 제2 챔버(306) 내에 배치되고 내부 챔버로 간주될 수 있고, 제2 챔버(306)는 외부 챔버로 간주될 수 있다. 또한, 다음 설명으로부터 명백한 바와 같이, 제1 챔버(302)는 고압 프로세싱을 가능하게 하도록 구성될 수 있고, 또한 고압 챔버로 간주될 수 있다. 제1 챔버(302) 및 제2 챔버(306)는, 일부 경우들에서, 함께 유체적으로 커플링되고 저압 프로세싱을 가능하게 하도록 구성될 수 있다.
[0042] 다중 압력 프로세싱 챔버(300)는 진공 프로세싱 시스템(208)과 유사한 진공 프로세싱 시스템(도시되지 않음) 및 도 2와 관련하여 설명된 가스 전달 시스템(206)과 유사한 가스 전달 시스템(307)을 더 포함한다. 예를 들어, 가스 전달 시스템(307)은 입력 라인(307a) 및 배기 라인(307b)을 포함한다. 프로세스 가스는 입력 라인(307a)을 통해 제1 챔버(302) 내로 도입되고, 프로세스 가스는 배기 라인(307b)을 통해 제1 챔버(302)로부터 배기된다. 일부 예들에서, 다중 압력 프로세싱 챔버(300)는 RPS로부터 플라즈마 유출물들을 제1 챔버(302) 내로 유동시키기 위해 입력 라인(307a)에 커플링될 수 있는 RPS를 포함할 수 있다.
[0043] 페데스탈(304)은 막이 프로세싱되는 기판(314)을 지지한다. 페데스탈(304)은 제1 챔버(302) 내에 위치결정되거나 또는 위치결정 가능하다. 일부 구현예들에서, 기판(314)은 페데스탈의 평평한 최상부 표면 상에 직접 안착된다. 일부 구현예들에서, 기판(314)은 페데스탈로부터 돌출하는 핀들(330) 상에 안착된다.
[0044] 다중 압력 프로세싱 챔버(300)는 내부 벽(320), 베이스(322), 및 외부 벽(324)을 포함한다. 제1 챔버(302)는 내부 벽(320) 및 베이스(322) 내의 체적에 의해 제공된다. 제2 챔버(306)는 내부 벽(320) 내부 및 내부 벽(320) 외부, 예를 들어 내부 벽(320)과 외부 벽(324) 사이의 체적에 의해 제공된다.
[0045] 다중 압력 프로세싱 챔버(300)는 제1 챔버(302)와 제2 챔버(306) 사이에 도 2의 밸브 조립체(216)의 기능성을 제공하는 밸브 조립체(316)를 더 포함하는데, 예를 들어, 이것은 제1 챔버(302)를 제2 챔버(306)로부터 격리하고 제1 챔버(302)와 제2 챔버(306)를 유체적으로 커플링하도록 작동될 수 있다. 예를 들어, 밸브 조립체(316)는 내부 벽(320), 베이스(322), 및 내부 벽(320)에 대해 베이스(322)를 이동시키는 액추에이터(323)를 포함한다. 액추에이터(323)는 베이스(322)가 수직으로, 예를 들어, 제1 챔버(302)를 정의하는 내부 벽들(320)로부터 멀어지거나 또는 이들을 향하여 이동하게 구동시키도록 제어될 수 있다. 벨로우즈(bellows)(328)는 베이스(322)가 수직으로 이동하는 것을 허용하면서 외부 대기로부터 제2 챔버(306)를 밀봉하기 위해 사용될 수 있다. 벨로우즈(328)는 베이스(322)의 최하부로부터, 외부 벽(324)에 의해 형성된 제2 챔버(306)의 플로어(floor)까지 연장될 수 있다.
[0046] 밸브 조립체(316)가 폐쇄 포지션에 있을 때, 베이스(322)는 내부 벽들(320)과 접촉하여 시일(seal)이 베이스(322)와 내부 벽들(320) 사이에 형성되어, 이에 따라 제1 챔버(302)로부터 제2 챔버(306)를 분리시킨다. 액추에이터(323)는 시일을 형성하기에 충분한 힘으로 내부 벽들(320)을 향해 베이스(322)를 구동하도록 작동된다. 시일은 제1 챔버(302)로부터의 가스가 제2 챔버(306) 내로 배기되는 것을 억제한다.
[0047] 밸브 조립체(316)가 개방 포지션에 있을 때, 베이스(322)는 내부 벽들(320)로부터 이격되어 있어서, 이에 따라 가스가 제1 챔버(302)와 제2 챔버(306) 사이에서 전도되는 것을 허용하고 또한 기판(314)에 접근하고 이를 다른 챔버로 이송하는 것을 허용한다.
[0048] 페데스탈(304)이 베이스(322) 상에 지지되기 때문에, 이에 따라 페데스탈(304)은 또한 내부 벽들(320)에 대해 이동 가능하다. 페데스탈(304)은 기판(314)이 이송 로봇에 의해 더 쉽게 접근 가능할 수 있도록 이동될 수 있다. 예를 들어, 이송 로봇(106 또는 108)(도 1 참조)의 아암은 외부 벽(324)을 통해 구멍들(326)(예를 들어, 슬릿)을 통해 연장될 수 있다. 밸브 조립체(316)가 개방 포지션에 있을 때, 로봇 아암은 페데스탈(304) 상의 기판(314)에 접근하기 위해 내부 벽(320)과 베이스(322) 사이의 갭(gap)을 통과할 수 있다.
[0049] 일부 구현예들에서, 다중 압력 프로세싱 챔버(300)는 기판(314)에 열을 가하도록 구성된 하나 이상의 가열 요소들(318)을 포함한다. 가열 요소들(318)로부터의 열은 예를 들어 기판(314)이 페데스탈(304) 상에 지지되고 프로세스 가스(사용되는 경우)가 제1 챔버(302) 내로 도입되었을 때 기판(314)을 어닐링하기에 충분할 수 있다. 가열 요소들(318)은 저항성 가열 요소들일 수 있다. 하나 이상의 가열 요소들(318)은 내부 벽들(320)에 의해 제공되는 제1 챔버(302)의 천장과 같이, 제1 챔버(302)를 정의하는 내부 벽들(320)에 위치결정될 수 있는데, 예를 들어 매립될 수 있다. 가열 요소들(318)은 내부 벽(320)을 가열하도록 작동 가능하여, 복사열이 기판(314)에 도달하게 한다. 기판(314)은 내부 벽(320)으로부터 기판(314)으로의 열의 전달을 개선하기 위해 천장에 매우 근접하게, 예를 들어 2 내지 10 mm로 페데스탈(304)에 의해 유지될 수 있다.
[0050] 하나 이상의 가열 요소들(318)은 다중 압력 프로세싱 챔버(300) 내의, 예를 들어 천장보다는 측벽들 내의 다른 위치들에 배열될 수 있다. 가열 요소(318)의 예는 개별 가열 코일을 포함한다. 내부 벽에 매립된 히터 대신에 또는 이에 추가하여, 복사 히터, 예를 들어 적외선 램프(lamp)가 제1 챔버(302) 외부에 위치결정될 수 있고, 내부 벽(320)의 창을 통해 적외선 복사를 지향시킬 수 있다. 전선들은 전압 소스와 같은 전기 소스(도시되지 않음)를 가열 요소에 연결하고, 하나 이상의 가열 요소들(318)을 제어기에 연결할 수 있다.
[0051] 제어기는 기판(314)을 프로세싱하기 위한 동작들을 제어하기 위해 진공 프로세싱 시스템, 가스 전달 시스템(307), 및 밸브 조립체(316)에 작동 가능하게 연결된다. 일부 구현예들에서, 제어기는 또한 다른 시스템들에 작동 가능하게 연결될 수 있다. 일부 경우들에서, 도 1에 도시된 제어기(126)는 다중 압력 프로세싱 챔버(300)의 제어기이거나 또는 이를 포함한다.
[0052] 기판(314)을 프로세싱할 때, 제어기는 제2 챔버(306)를 통한 기판(314)의 이송을 준비하기 위해 제2 챔버(306)를 저압으로 감압하도록 진공 프로세싱 시스템을 작동시킬 수 있다. 기판(314)은 이송 로봇, 예를 들어, 이송 로봇들(106, 108) 중 하나에 의해 구멍(326) 및 제2 챔버(306)를 통해 이동되고, 제2 챔버(306)는 기판(314)의 오염이 억제될 수 있도록 저압에 있다.
[0053] 기판(314)은 프로세싱을 위해 페데스탈(304) 상으로 이송된다. 기판(314)을 페데스탈(304) 상으로 이송하기 위해, 제어기는 밸브 조립체(316)를 작동시켜 밸브 조립체(316)를 개방하여, 기판(314)이 제1 챔버(302) 내로 그리고 페데스탈(304) 상으로 이송될 수 있게 하는 개구를 제공할 수 있다. 제어기는 기판(314)을 제1 챔버(302) 내로 운반하고 기판(314)을 페데스탈(304) 상에 배치시키기 위해 이송 로봇을 작동시킬 수 있다.
[0054] 기판(314)이 페데스탈(304) 상으로 이송된 후, 제어기는 밸브 조립체가 저압 프로세싱을 위해 개방되거나 또는 고압 프로세싱을 위해 폐쇄되도록 작동시킬 수 있다. 고압 프로세싱 및 저압 프로세싱의 임의의 순서가 구현될 수 있다. 일부 예들에서, 기판은 저압 및 고압 프로세싱을 주기적으로 수행함으로써 프로세싱될 수 있다.
[0055] 밸브 조립체(316)가 폐쇄된 상태에서, 제1 챔버(302)의 내부 체적은 제2 챔버(306)의 내부 체적으로부터 격리된다. 밸브 조립체(316)가 폐쇄된 상태에서, 제1 챔버(302) 및 제2 챔버(306)의 압력들은 상이한 값들로 설정될 수 있다. 제어기는 제1 챔버(302)를 가압하고 기판(314)을 프로세싱하기 위해 제1 챔버(302) 내로 프로세스 가스를 도입하도록 가스 전달 시스템(307)을 작동시킬 수 있다. 프로세스 가스의 도입은 제1 챔버(302) 내의 압력을 예를 들어 1 바 이상으로 증가시킬 수 있다. 제1 챔버(302)에서의 프로세싱은 고압에서 이루어질 수 있다. 구현된다면, 플라즈마 유출물들은 고압 프로세싱 동안 기판(314)을 프로세싱하기 위해 RPS로부터 제1 챔버(302) 내로 도입될 수 있다.
[0056] 제어기는 밸브 조립체(316)를 작동시켜 밸브 조립체(316)를 개방함으로써, 이에 의해 제1 챔버(302) 및 제2 챔버(306)가 서로 유체 연통되게 할 수 있다. 밸브 조립체(316)가 개방된 상태에서, 제1 챔버(302) 및 제2 챔버(306)의 압력들은 동일할 수 있다. 제어기는 기판(314)을 프로세싱하기 위해 제1 챔버(302) 및 제2 챔버(306)를 저압으로 만들기 위해 진공 프로세싱 시스템을 작동시킬 수 있다. 제1 챔버(302) 및 제2 챔버(306) 내의 저압은 예를 들어 10 밀리토르 정도로 낮을 수 있다. 따라서, 제1 챔버(302) 및 제2 챔버(306)에서의 프로세싱은 낮은 압력에서 이루어질 수 있다. 제어기는 기판(314)을 프로세싱하기 위해 진공 프로세싱 시스템에 의해 배기될 수 있는 제1 챔버(302) 내로 프로세싱 가스를 도입하도록 가스 전달 시스템(307)을 작동시킬 수 있다. 구현된다면, 플라즈마 유출물들은 RPS로부터 제1 챔버(302) 내로 도입되어 저압 프로세싱 동안 기판(314)을 프로세싱할 수 있다.
[0057] 제1 챔버(302)에서 고압 프로세싱 후, 제어기는 밸브 조립체(316)가 개방되기 전에 제1 챔버(302)를 감압하도록 가스 전달 시스템(307)의 배기 시스템을 작동시킬 수 있다. 압력은 제1 챔버(302)와 제2 챔버(306) 사이의 압력 차이가 최소화될 수 있도록 낮은 압력으로 감소될 수 있다.
[0058] 추가적으로, (예를 들어, 밸브 조립체(316)가 개방 또는 폐쇄되고 그리고/또는 고압 또는 저압에서) 기판을 프로세싱하는 동안, 제어기는 상이한 프로세싱 동안 가열 요소들(318)을 동일한 또는 상이한 온도들에서 작동시킬 수 있다. 또한, 제어기는 가스 전달 시스템(307)을 작동시켜 임의의 프로세싱(예를 들어, 고압 프로세싱 또는 저압 프로세싱) 중에 임의의 적절한 가스를 유동시킬 수 있다.
[0059] 다중 압력 프로세싱 챔버(300)에서 기판(314)의 프로세싱이 완료되면, 기판(314)은 이송 로봇을 사용하여 제1 챔버(302)로부터 제거될 수 있다. 제1 챔버(302) 외부로 기판(314)의 이송을 준비하기 위해, 제어기는 밸브 조립체(316)가 개방되기 전에, 적절하다면, 제1 챔버(302)를 감압하도록 가스 전달 시스템(307)의 배기 시스템을 작동시킬 수 있다. 특히, 기판(314)이 제1 챔버(302) 외부로 이송되기 전에, 프로세스 가스는 제1 챔버(302) 내의 압력을 감소시키기 위해 제1 챔버(302)로부터 배기될 수 있다.
[0060] 기판(314)이 제1 챔버(302) 외부로 이송될 수 있도록 하기 위해, 제어기는 밸브 조립체(316)를 개방할 수 있다. 개방된 밸브 조립체(316)는 기판(314)이 제2 챔버(306) 내로 그리고 구멍(326)을 통해 이송되도록 이동되게 하는 개구를 제공한다. 특히, 개방된 밸브 조립체(316)는 기판(314)이 제2 챔버(306) 내로, 예를 들어, 제2 챔버(306)의 저압 환경 내로 직접 이송될 수 있게 한다. 그런 다음, 제어기는 기판(314)을 프로세싱 시스템, 예를 들어, 프로세싱 시스템(100)의 다른 챔버로 이송하기 위해 이송 로봇을 작동시킬 수 있다. 예를 들어, 기판(314)은 추가 프로세싱을 위해 적절한 프로세싱 챔버로, 또는 프로세싱 시스템으로부터 기판을 제거하기 위해 로드록 챔버로 이송된다.
[0061] 도 4를 참조하면, 다른 예에서, 다중 압력 프로세싱 챔버(400)는 제1 챔버(402), 페데스탈(404), 제2 챔버(406), 및 제어기(도시되지 않음)를 포함한다. 제1 챔버(402)는 제2 챔버(406) 내에 배치되고, 내부 챔버로 간주될 수 있고, 제2 챔버(406)는 외부 챔버로 간주될 수 있다. 또한, 제1 챔버(402)는 고압 프로세싱을 가능하게 하도록 구성될 수 있고, 또한 고압 챔버로 간주될 수 있다. 제1 챔버(402) 및 제2 챔버(406)는 일부 경우들에서 함께 유체적으로 커플링되고, 저압 프로세싱을 가능하게 하도록 구성될 수 있다. 다중 압력 프로세싱 챔버(400)는 도 3과 관련하여 설명된 다중 압력 프로세싱 챔버(300)와 유사하며; 달리 명시되지 않는 한, 다양한 옵션들 및 구현예들이 또한 도 4의 예에도 적용 가능하다.
[0062] 예를 들어, 다중 압력 프로세싱 챔버(400)의 가스 전달 시스템 및 진공 프로세싱 시스템은 다중 압력 프로세싱 챔버(400)를 사용하여 프로세싱되는 기판(414)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 작동된다. 제2 챔버(406)는 내부 벽들(420)과 외부 벽들(424) 사이의 체적에 의해 정의될 수 있다. 추가적으로, 기판(414)은 또한 제1 챔버(402) 내에서 프로세싱하기 위해 페데스탈(404) 상에 지지될 수 있다. 다시, 기판(414)은 페데스탈(404) 상에 직접 안착될 수 있거나, 또는 페데스탈을 통해 연장되는 리프트 핀들(lift pins)(430) 상에 안착될 수 있다.
[0063] 다중 압력 프로세싱 챔버(400)는 도 3의 다중 압력 프로세싱 챔버(300)와 몇 가지 점에서 상이하다. 먼저, 제1 챔버(402)를 정의하는 내부 벽들(420)은 제1 챔버(402)를 정의하는 베이스(422)에 대해 이동될 수 없다. 따라서, 페데스탈(404)은 내부 벽들(420) 및 베이스(422)에 대해 고정된다. 일부 예들에서, 페데스탈(404)은 제1 챔버(402)를 정의하는 베이스(422)에 고정된다.
[0064] 도 3의 예의 하나 이상의 가열 요소들(318)의 경우와 같이, 제1 챔버(402)의 내부 벽들(420)에 배열되는 대신에, 도 4에 도시된 예의 하나 이상의 가열 요소들(418)은 페데스탈(404) 내에 배열된다. 따라서 기판(414)은 페데스탈(404)과의 접촉을 통해 가열될 수 있다.
[0065] 다중 압력 프로세싱 챔버(400)는 도 3의 밸브 조립체(316)와 유사하게, 제1 챔버(402)를 제2 챔버(406)로부터 격리하는 제1 챔버(402)와 제2 챔버(406) 사이의 밸브 조립체(416)를 더 포함한다. 그러나, 밸브 조립체(316)와 대조적으로, 밸브 조립체(416)는 제1 챔버(402)를 정의하는 내부 벽들(420) 및 베이스(422)에 의해 형성되지 않고, 오히려 제1 챔버(402)의 내부 벽들(420)에 대해 이동 가능한 하나 이상의 구성요소들을 갖는 아암 조립체(425)를 포함한다.
[0066] 특히, 밸브 조립체(416)는 아암 조립체(425), 및 제1 챔버(402)와 제2 챔버(406)를 격리하고 유체적으로 연결하도록 구성된 밸브 도어(423)를 포함한다. 구멍(423a)은 내부 벽(420)을 관통하고, 제1 챔버(402)와 제2 챔버(406) 사이에 있다. 아암 조립체(425)의 아암(425b)은 내부 벽(420)을 통해 구멍(423a)에 위치결정되고, 밸브 도어(423)는 제1 챔버(402) 내에 위치결정된다. 밸브 도어(423)는 아암 조립체(425)의 나머지 부분으로부터 원위에 있는 포지션에서 아암(425b)에 연결된다. 도시된 바와 같이, 아암(425b)은 외부 벽(424)을 통해 구멍(426)을 통해 추가로 연장되고, 아암 조립체(425)의 나머지는 제2 챔버(406)의 외부에 위치결정된다. 아암 조립체(425)는 제2 챔버(406)의 외부에도 또한 위치결정되는 아암 조립체(425)의 구동 샤프트(425a)에 연결된 액추에이터(428)에 의해 구동된다. 액추에이터(428)에 의해 구동되는 구동 샤프트(425a)의 움직임은 아암 조립체(425)에 의해 아암(425b)의 움직임으로 변환된다. 다른 예들에서, 아암 조립체(425)(예를 들어, 구동 샤프트(425a)를 포함함) 및 액추에이터(428)는 제2 챔버(406) 내에 위치결정될 수 있다.
[0067] 아암 조립체(425)는, 구멍(423a)을 통해 연장되고 밸브 도어(423)가 내부 벽들(420)과 시일을 형성하는 포지션으로 이동하도록 내부 벽(420)에 대해 이동 가능할 수 있다. 액추에이터(428)는 아암 조립체(425)의 구동 샤프트(425a)를 구동하며, 이는 구동 샤프트(425a)의 구동을 구멍(423a)이 내부 벽(420)을 통해 연장되는 일반적인 방향으로 내부 벽들(420)에 대한 아암(425b)의 이동으로 변환시킨다. 이 방향으로의 아암(425b)의 이동은 밸브 도어(423)가 내부 벽(420)과 맞물리게 하여(예를 들어, 아암(425b)이 후퇴될 때) 이에 의해 내부 벽(420)과 시일을 형성하고 제1 챔버(402)를 제2 챔버(406)로부터 격리시킬 수 있으며, 밸브 도어(423)가 내부 벽(420)으로부터 변위되게 하여(예를 들어, 아암(425b)이 연장될 때) 이에 의해 제1 챔버(402)와 제2 챔버(406)를 유체적으로 연결할 수 있다. 특히, 밸브 도어(423)는 내부 벽(420)의 인접한 내부 표면에 실질적으로 평행하게 연장되는 아암(425b)으로부터의 플랜지(flange)이거나 또는 이를 포함할 수 있다.
[0068] 밸브 조립체(316)와 같이, 밸브 조립체(416)는 개방 포지션과 폐쇄 포지션 사이에서 이동 가능하다. 밸브 조립체(416)가 폐쇄 포지션에 있을 때, 아암 조립체(425)의 아암(425b)은 밸브 도어(423)가 구멍(423a)을 덮고 내부 벽들(420) 중 하나와 접촉하도록 측방향으로 후퇴되어, 이에 의해 제1 챔버(402)를 제2 챔버(406)로부터 격리시키는 시일을 형성한다. 특히, 아암 조립체(425)의 아암(425b)은 밸브 도어(423)(예를 들어, 플랜지)가 제1 챔버(402)를 정의하는 내부 벽(420)의 내부 표면과 접촉하게 한다.
[0069] 밸브 조립체(416)가 개방 포지션에 있을 때, 아암 조립체(425)의 아암(425b)은 밸브 도어(423)가 내부 벽(420), 예를 들어 내부 벽(420)의 내부 표면으로부터 측방향으로 이격되도록 측방향으로 연장된다. 따라서 구멍(423a)은 제1 챔버(402)와 제2 챔버(406) 사이의 유체 연통을 가능하게 하는 개구를 제공한다.
[0070] 제어기는 다중 압력 프로세싱 챔버(400)를 다중 압력 프로세싱 챔버(300)의 제어기와 관련하여 설명된 프로세스와 유사한 방식으로 작동시켜 기판(414)을 제1 챔버(402) 내로 그리고 외부로 이송하고 기판(414)을 프로세싱할 수 있다. 이 프로세스에서, 밸브 조립체(416)를 개방하고 폐쇄하기 위해, 제어기는 아암 조립체(425)를 구동하도록 액추에이터(428)를 작동시킬 수 있다.
[0071] 도 5를 참조하면, 추가 예에서, 다중 압력 프로세싱 챔버(500)는 제1 챔버(502), 페데스탈(504), 제2 챔버(506), 및 제어기(도시되지 않음)를 포함한다. 다중 압력 프로세싱 챔버(500)는 도 4와 관련하여 설명된 다중 압력 프로세싱 챔버(400)와 유사하며; 달리 지정되지 않는 한, 다양한 옵션들 및 구현예들이 또한 이 예에도 적용될 수 있다.
[0072] 예를 들어, 다중 압력 프로세싱 챔버(500)의 가스 전달 시스템 및 진공 프로세싱 시스템은 다중 압력 프로세싱 챔버(500)를 사용하여 프로세싱되는 기판(도시되지 않음)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 작동된다. 추가적으로, 기판은 또한 제1 챔버(502) 내에서 프로세싱하기 위해 페데스탈(504) 또는 리프트 핀들 상에 지지될 수 있다.
[0073] 다중 압력 프로세싱 챔버(500)는, 페데스탈(504)이 제1 챔버(502)를 정의하는 베이스(522)보다는 제1 챔버(502)를 정의하는 천장(521)에 장착된다는 점에서, 도 4의 다중 압력 프로세싱 챔버(400)와 상이하다. 페데스탈(504)과 마찬가지로, 페데스탈(504)은 벽들(520), 천장(521), 및 베이스(522)에 대해 고정된다. 추가적으로, 다중 압력 프로세싱 챔버(500)의 하나 이상의 가열 요소들(518)이 페데스탈(504) 내에 배열된다. 기판이 페데스탈(504) 상에 지지되도록 페데스탈(504) 상에 기판을 위치결정시키기 위해, 기판은 페데스탈(504)의 플레이트들(plates) 사이에 삽입된다. 하나 이상의 가열 요소들(518)은, 기판이 페데스탈(504)의 플레이트들에 의해 정의된 슬롯 내로 삽입될 때, 하나 이상의 가열 요소들(518)이 기판에 열을 균일하게 인가할 수 있도록 플레이트들에 대해 배열된다.
[0074] 도 6을 참조하면, 추가 예에서, 다중 압력 프로세싱 챔버(600)는 제1 챔버(602), 페데스탈(604), 제2 챔버(606), 및 제어기(도시되지 않음)를 포함한다. 다중 압력 프로세싱 챔버(600)는 도 4와 관련하여 설명된 다중 압력 프로세싱 챔버(400)와 유사하며; 달리 지정되지 않는 한, 다양한 옵션들 및 구현예들이 또한 이 예에도 적용될 수 있다.
[0075] 예를 들어, 다중 압력 프로세싱 챔버(600)의 가스 전달 시스템 및 진공 프로세싱 시스템은 다중 압력 프로세싱 챔버(600)를 사용하여 프로세싱되는 기판(614)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 작동된다. 추가적으로, 기판(614)은 또한 제1 챔버(602) 내에서 프로세싱하기 위해 페데스탈(604) 상에 지지될 수 있다.
[0076] 다중 압력 프로세싱 챔버(600)는, 다중 압력 프로세싱 챔버(600)의 밸브 조립체(616)의 밸브 도어(623)가 내부 벽(620)의 구멍(623a)을 덮기 위해, 내부 벽(620)의 내부 측면보다는, 제1 챔버(602)를 정의하는 내부 벽(620)의 외부 표면과 접촉한다는 점에서, 도 4의 다중 압력 프로세싱 챔버(400)와 상이하다. 밸브 조립체(416)와 같이, 밸브 조립체(616)는 제1 챔버(602)를 제2 챔버(606)로부터 격리시키도록 작동한다. 밸브 조립체(616)는 제1 챔버(602)와 제2 챔버(606) 사이에 위치결정될 수 있다.
[0077] 밸브 조립체(616)는 아암 조립체(625), 및 제2 챔버(606)에 배치된 밸브 도어(623)를 포함한다. 구멍(623a)은 내부 벽(620)을 관통하고, 제1 챔버(602)와 제2 챔버(606) 사이에 있다. 밸브 도어(623)는 제1 챔버(602)의 외부에 위치결정된다. 아암 조립체(625)는 제1 챔버(602)의 외부 및 제2 챔버(606) 내에 위치결정된다. 아암 조립체(625)는 슬릿(626)을 통해 연장되지 않는다.
[0078] 아암 조립체(625)의 아암(625b)은 밸브 도어(623)가 내부 벽들(620)과 시일을 형성하는 포지션으로 이동될 수 있도록 내부 벽들(620)에 대해 이동 가능하다. 예를 들어, 다중 압력 프로세싱 챔버(600)는 아암 조립체(625)를 구동하도록 작동 가능한 액추에이터(628)를 포함한다. 액추에이터(628)는 내부 벽들(620)에 대해 아암 조립체(625)의 아암(625b)을 이동시키도록 구동하도록 구성된 아암 조립체(625)의 구동 샤프트(625a)에 커플링된다.
[0079] 밸브 조립체(316)와 같이, 밸브 조립체(616)는 개방 포지션과 폐쇄 포지션 사이에서 이동 가능하다. 예를 들어, 밸브 조립체(616)가 폐쇄 포지션에 있을 때, 아암 조립체(625)의 아암(625b)은 밸브 도어(623)가 구멍(623a)을 덮는 내부 벽(620)과 접촉하도록 측방향으로 연장되어, 이에 의해 제1 챔버(602)를 제2 챔버(606)로부터 격리시키기 위한 시일을 형성한다.
[0080] 밸브 조립체(616)가 개방 포지션에 있을 때, 아암 조립체(625)의 아암(625b)은 밸브 도어(623)가 구멍(623a)을 덮지 않는 내부 벽(620)과 접촉하지 않도록 측방향으로 후퇴된다. 따라서 구멍(623a)은 제1 챔버(602)와 제2 챔버(606) 사이의 유체 연통을 가능하게 하는 개구를 제공한다.
[0081] 제어기는 다중 압력 프로세싱 챔버(300)의 제어기와 관련하여 설명된 프로세스와 유사한 방식으로 다중 압력 프로세싱 챔버(600)를 작동시킬 수 있다. 이 프로세스에서, 밸브 조립체(616)를 개방하고 폐쇄하기 위해, 제어기는 아암 조립체(625)의 아암(625b)을 구동하도록 액추에이터(628)를 작동시킬 수 있다.
[0082] 도 7은 일부 예들에 따른 반도체 프로세싱을 위한 방법(700)의 흐름도이다. 도 8 내지 도 10은 일부 예들에 따른 도 7의 방법(700)의 양태들을 예시하는 중간 반도체 구조들의 단면도이다. 여기에 설명된 예들은 기판 상의 핀들 사이에 격리 구조들(예를 들어, STIs(shallow trench isolations))을 형성하는 맥락에서 설명된다. 당업자는 다른 맥락들에 대한 본 명세서에 설명된 양태들의 다양한 적용들을 쉽게 이해할 것이며, 이러한 변형들은 다른 예들의 범위 내에서 고려된다.
[0083] 도 7의 블록(702)에 따르면, 유동성 막이 기판 상의 핀들 상에 그리고 핀들 사이에 증착된다. 도 8은 기판(802) 상의 핀들(804) 상에 그리고 핀들 사이에 증착된 유동성 막(808)의 단면도를 예시한다. 도 8의 구조를 얻기 위해, 기판(802)이 제공된다. 기판(802)은 벌크 기판, SOI(semiconductor-on-insulator) 기판 등과 같은 임의의 적절한 반도체 기판일 수 있다. 일부 예들에서, 기판(802)은 벌크 실리콘 웨이퍼이다. 기판 크기들의 예들은 특히 직경 200 mm, 직경 350 mm, 직경 400 mm, 및 직경 450 mm를 포함하다. 이 경우, 핀들(804)이 기판(802) 상에 형성된다. 핀들(804)은 각각의 핀(804)이 이웃하는 피처들 쌍(예를 들어, 트렌치들(806)) 사이에 정의되도록 기판(802) 내로 연장되는 트렌치들(806)과 같은 피처들을 에칭함으로써 형성될 수 있다. 임의의 적절한 패터닝 프로세스를 구현하여 피처들을 형성할 수 있다. 패터닝 프로세스는 핀들(804) 사이의 타깃 피치(target pitch)를 달성하기 위해 SADP(self-aligned double patterning), LELE(lithography-etch-lithography-etch) 이중 패터닝 등과 같은 다중 패터닝 프로세스를 포함할 수 있다. 트렌치들(806)을 에칭하기 위한 예시적인 에칭 프로세스는 반응성 이온 에칭(RIE) 프로세스 등을 포함한다. 각각의 트렌치(806)는 높은 종횡비를 갖거나 또는 높은 종횡비를 형성할 수 있다. 종횡비는 트렌치(806)의 폭(812)에 대한 트렌치(806)의 깊이(810)의 비일 수 있다. 종횡비는 10:1 이상일 수 있다. 일부 예들에서, 확산 장벽 층과 같은 하나 이상의 층들이 핀들(804) 상에 형성되고, 깊이(810) 및 폭(812)은 최외측 층의 외부 표면으로부터 측정될 수 있다.
[0084] 그런 다음, 유동성 막(808)은 트렌치들(806) 내에 그리고 핀들(804) 상에 증착된다. 일부 예들에서, 유동성 막(808)은 FCVD 프로세스 또는 스핀-온에 의해 증착될 수 있다. 예를 들어, FCVD 프로세스에서, 유동성 막(808)은 고농도의 질소 및/또는 수소를 포함하는 실리콘 기반 유전체일 수 있다. 예를 들어, FCVD 프로세스에서, 전구체들은 H2N(SiH3), HN(SiH3)2, 및 N(SiH3)3과 같은 실릴-아민들, 실란(SiH4), 또는 트리실릴아민(N(SiH3)3), 수소(H2), 질소(N2) 및/또는 암모니아(NH3)와 같은 다른 가스들과 혼합될 수 있는 다른 유사한 전구체들일 수 있거나 또는 이들을 포함할 수 있다. 유동성 막(808)의 유동성은 유동성 막(808)이 예를 들어 높은 종횡비 갭들(예를 들어, 트렌치들(806)에 의해 형성될 수 있음)에서 우수한 갭 충전을 제공하도록 허용할 수 있다.
[0085] 블록(704)에 따르면, 상부에 증착된 유동성 막(808)을 갖는 기판(802)은 그 후 프로세싱 챔버로 이송된다. 프로세싱 챔버는 도 2 내지 도 7과 관련하여 위에서 설명된 임의의 것과 같은 다중 압력 프로세싱 챔버이다. 여기에서의 문맥에 대한 예로서, 블록(704)의 프로세싱 챔버는 도 3의 다중 압력 프로세싱 챔버(300)이다. 프로세싱 챔버는 도 1의 프로세싱 시스템(100)과 같은 프로세싱 시스템에 포함될 수 있다.
[0086] 예를 들어, 기판(802)은 전면 개방 통합 포드(FOUP)에 의해 팩토리 인터페이스 모듈(130)로 이송되고, 팩토리 인터페이스 모듈(130)에서, 기판(802)은 FOUP로부터 로드록 챔버(128)로 이송된다. 후속 이송들 및 프로세싱은, 예를 들어, 기판(802)을 프로세싱 시스템(100) 외부의 대기 주변 환경에 노출시키지 않고 그리고 프로세싱 시스템(100)의 이송 장치 내에서 유지되는 저압 또는 진공 환경을 파괴하지 않고, 프로세싱 시스템(100)에서 수행된다. 이송 로봇(106)은 기판(802)을 로드록 챔버(128)로부터 제1 이송 챔버(102) 내로 이송한다. 기판(802)은 그 후 다중 압력 프로세싱 챔버(300)가 제1 이송 챔버(102)에 커플링되는 경우 이송 로봇(106)에 의해 프로세싱 챔버(예를 들어, 다중 압력 프로세싱 챔버(300))로 이송될 수 있거나, 또는 다중 압력 프로세싱 챔버(300)가 제2 이송 챔버(104)에 커플링되는 경우 이송 로봇(106)에 의해 통과 챔버(124)로, 그리고 후속적으로 이송 로봇(108)에 의해 통과 챔버(124)로부터 다중 압력 프로세싱 챔버(300)로 이송될 수 있다. 일부 예들에서, 유동성 막(808)의 증착은 프로세싱 시스템 내의 프로세싱 챔버에서 이루어질 수 있다. 따라서, 이러한 예들에서, 기판(802)은 유동성 막(808)의 증착 전에 프로세싱 시스템(100)으로 이송될 수 있고, 후속적으로 프로세싱 시스템(100) 내에서 다중-압력 프로세싱 챔버(300)로 이송될 수 있다. 다중 압력 프로세싱 챔버(300)의 밸브 조립체(316)가 개방되고, 이송 챔버의 이송 로봇은 상술한 바와 같이 기판(802)을 페데스탈(304) 상으로 이송한다.
[0087] 블록(706)에 따르면, 블록(708)의 제1 프로세스에 이어지는 블록(710)의 제2 프로세스를 포함하는 프로세싱이 프로세싱 챔버에서 수행된다. 블록(710)의 제2 프로세스는 블록(708)의 제1 프로세스와 상이하다. 다른 예들에서 프로세싱 챔버에서 추가적인 프로세스가 수행될 수도 있다.
[0088] 일부 예들에서, 블록(708)의 제1 프로세스는 유동성 막에서 더 안정적인 결합들 및/또는 더 많은 결합들을 형성하고, 블록(710)의 제2 프로세스는 안정화된 막을 고밀화하고, 안정화된 막 내에 더 안정적인 결합들을 추가로 생성할 수 있다. 따라서, 블록(708)에서의 제1 프로세스는 유동성 막을 안정화시키는 것을 포함하고, 블록(710)에서의 제2 프로세스는 안정화된 막을 고밀화하는 것을 포함한다.
[0089] 일부 예들에서, 블록(708)의 제1 프로세스 및 블록(710)의 제2 프로세스는 동일한 또는 상이한 압력에서 이루어질 수 있다. 일부 예들에서, 블록(708)의 제1 프로세스는 블록(710)의 제2 프로세스의 압력보다 낮은 압력에서 이루어질 수 있다. 일부 예들에서, 블록(710)의 제2 프로세스는 블록(708)의 제1 프로세스가 수행되는 프로세싱 챔버 내의 압력보다 1000배 이상(예를 들어, 10000배 이상) 더 큰 프로세싱 챔버 내의 압력에서 수행된다. 일부 예들에서, 블록(708)의 제1 프로세스는 블록(710)의 제2 프로세스의 압력보다 더 큰 압력에서 이루어진다. 예들로서, 제1 프로세스는 10 밀리토르 내지 100 바 범위의 압력에서 수행될 수 있고, 제2 프로세스는 1 바보다 크거나 같은, 예를 들어 5 바보다 크거나 같은 압력에서 수행될 수 있다.
[0090] 일부 예들에서, 제1 프로세스 및 제2 프로세스가 수행되는 온도들은 동일하지만, 다른 예들에서는 온도들이 상이할 수 있다. 일부 예들에서, 블록(708)에서의 제1 프로세스의 온도는 블록(710)에서의 제2 프로세스의 온도보다 낮다. 예들로서, 블록(708)에서의 제1 프로세스의 온도는 300 ℃ 내지 1000 ℃의 범위에 있을 수 있고, 블록(710)에서의 프로세스의 온도는 300 ℃ 내지 1000 ℃의 범위에 있을 수 있으며, 이 온도는 블록(708)에서의 제1 프로세스의 온도와 같거나, 이보다 더 작거나, 또는 이보다 더 높을 수 있다. 예들로서, 블록(708)에서의 제1 프로세스의 온도는 100 ℃ 내지 300 ℃의 범위에 있을 수 있고, 블록(710)에서의 프로세스의 온도는 300 ℃ 내지 1000 ℃의 범위에 있을 수 있다.
[0091] 일부 예들에서, 블록(708)에서 제1 프로세스를 위해 유동되는 프로세스 가스 조성물(예를 들어, 단일 가스 또는 가스들의 혼합물일 수 있음)은 블록(710)에서 제2 프로세스를 위해 유동되는 프로세스 가스 조성물과 상이하다. 이하, 제1 프로세스 및 제2 프로세스에 대한 예들이 설명된다.
[0092] 일부 예들에서, 블록(708)의 제1 프로세스는 안정화 프로세스인 것에 추가하여 변환 프로세스이다. 변환 및 안정화 프로세스는 유동성 막(808)을 다른 유전체 조성을 갖도록 변환시킨다. 예를 들어, 변환 프로세스는 FCVD 프로세스에 의해 증착된 고농도의 질소 및/또는 수소를 포함하는 실리콘 기반 유전체를 실리콘 산화물로 변환시킬 수 있다. 변환 프로세스는 산화 프로세스일 수 있다.
[0093] 일부 예들에서, 산화 프로세스는 열 산화 프로세스 또는 플라즈마 산화 프로세스이다. 열 산화 프로세스에서, 산소 가스(O2), 오존 가스(O3), 아산화질소(N2O), 산화질소(NO), 또는 이들의 조합과 같은 산소 함유 프로세스 가스가 프로세싱 챔버 내에서 유동될 수 있다. 산소 함유 프로세스 가스는 프로세싱 챔버 내로 연속적으로 유동될 수 있거나, 또는 원하는 압력이 달성될 때까지 프로세싱 챔버 내로 유동되고 중단될 수 있으며, 여기서 압력은 이후 산화 프로세스 동안 유지된다. 산소 함유 프로세스 가스의 유량은 예를 들어 약 5 sccm 내지 약 200 slm의 범위일 수 있다. 열 산화 프로세스 동안, 프로세싱 챔버 내의 압력은 10 밀리토르 내지 100 바의 압력으로 유지될 수 있다. 열 산화 프로세스는 약 300 ℃ 내지 약 1000 ℃ 범위와 같은 300 ℃ 초과의 온도에서 수행될 수 있다. 플라즈마 산화 프로세스에서, 플라즈마는 산소 가스(O2), 오존 가스(O3), 아산화질소(N2O), 산화질소(NO) 또는 이들의 조합과 같은 산소 함유 프로세스 가스를 사용하여 RPS에서 점화된다. 산소 함유 플라즈마 유출물은 프로세싱 챔버 내에서 유동된다. RPS의 RF 전원은 13.56 MHz와 같이 약 2 MHz 내지 약 40 MHz 범위의 주파수를 가질 수 있고, 약 50 W 내지 약 3000 W 범위의 전력을 가질 수 있다. 플라즈마 산화 프로세스에서 가스의 유동, 온도 및 압력은 열 산화에 대해 이전에 설명된 것과 같을 수 있다.
[0094] 일부 예들에서, 블록(708)의 제1 프로세스는 유동성 막의 조성에 크게 영향을 미치지 않는 안정화 프로세스이다. 예를 들어, 안정화 프로세스는 FCVD 프로세스에 의해 증착된 고농도의 질소 및/또는 수소를 포함하는 실리콘 기반 유전체의 조성을 실질적으로 유지할 수 있다.
[0095] 일부 예들에서, 안정화 프로세스는 열 프로세스 또는 플라즈마 프로세스이다. 열 프로세스에서, 암모니아 가스(NH3)와 같은 암모니아 함유 프로세스 가스는 프로세싱 챔버 내에서 유동될 수 있다. 암모니아 함유 프로세스 가스는 프로세싱 챔버 내로 연속적으로 유동될 수 있거나, 또는 원하는 압력이 달성될 때까지 프로세싱 챔버 내로 유동되고 중단될 수 있고, 여기서 압력은 이후 열 프로세스 동안 유지된다. 암모니아 함유 프로세스 가스의 유량은 예를 들어 약 5 sccm 내지 약 200 slm의 범위에 있을 수 있다. 열 프로세스 동안, 프로세싱 챔버 내의 압력은 10 밀리토르 내지 100 바의 압력으로 유지될 수 있다. 열 프로세스는 약 300 ℃ 내지 약 1000 ℃ 범위와 같이 300 ℃ 초과의 온도에서 수행될 수 있다. 플라즈마 프로세스에서, 플라즈마는 암모니아 가스(NH3)와 같은 암모니아 함유 프로세스 가스를 사용하여 RPS에서 점화된다. 질소 함유 플라즈마 유출물들 및/또는 수소 함유 플라즈마 유출물들은 프로세싱 챔버 내에서 유동된다. RPS의 RF 전원은 13.56 MHz와 같이 약 2 MHz 내지 약 40 MHz 범위의 주파수를 가질 수 있고, 약 50 W 내지 약 3000 W 범위의 전력을 가질 수 있다. 플라즈마 프로세스에서의 가스의 유동, 온도 및 압력은 플라즈마 없이 안정화하기 위해 이전에 설명된 바와 같을 수 있다.
[0096] 블록(708)의 제1 프로세스는 밸브 조립체(316)가, 예를 들어, 제1 프로세스가 수행되는 압력에 따라 개방 또는 폐쇄 포지션에 유지되는 동안 다중 압력 프로세싱 챔버(300)에서 수행될 수 있다. 밸브 조립체(316)는 저압 프로세싱을 위해 개방된 상태로 유지될 수 있거나, 또는 고압 프로세싱을 위해 폐쇄될 수 있다. 산소 함유 프로세스 가스 또는 암모니아 함유 프로세스 가스는 가스 전달 시스템(307)을 통해 유동되고, 진공 프로세싱 시스템에 의해 제2 챔버(306)를 통해 배기될 수 있다. 가열 요소들(318)은 제1 프로세스 동안 제1 챔버(302) 내의 온도를 유지할 수 있다.
[0097] 일부 예들에서, 블록(710)의 제2 프로세스는 고밀화 프로세스이다. 고밀화 프로세스는 유동성 막(808)으로부터 안정화된 및/또는 변환된 유전체 재료의 밀도를 증가시킨다. 예를 들어, 고밀화 프로세스는 FCVD 프로세스에 의해 증착된 고농도의 질소 및/또는 수소를 포함하는 실리콘 기반 유전체로부터 변환된 실리콘 산화물의 밀도를 증가시킬 수 있다. 고밀화 프로세스는 안정화된 막을 다른 유전체 조성물(예를 들어, 실리콘 산화물)로 추가적으로 더 변환시킬 수 있다. 고밀화 프로세스는 Si-O-Si 결합들을 형성하는 반응들을 촉진시킬 수 있다. 고밀화 프로세스는 어닐링 프로세스일 수 있다.
[0098] 일부 예들에서, 어닐링 프로세스는 건식 어닐링 프로세스 또는 증기 어닐링 프로세스이다. 건식 어닐링 프로세스는 약 300 ℃ 내지 약 1000 ℃ 범위와 같이 300 ℃ 초과의 온도에서 수행될 수 있다. 건식 어닐링 프로세스에서, 암모니아 가스(NH3), 아산화질소(N2O), 산화질소(NO) 등과 같은 프로세스 가스가 프로세싱 챔버 내에서 유동될 수 있다. 프로세스 가스는 프로세싱 챔버 내로 연속적으로 유동될 수 있거나, 또는 원하는 압력이 달성될 때까지 프로세싱 챔버 내로 유동되고 중단될 수 있으며, 여기서 압력은 이후 건식 어닐링 프로세스 동안 유지된다. 프로세스 가스의 유량은 예를 들어 약 5 sccm 내지 약 200 slm의 범위일 수 있다. 건식 어닐링 프로세스는 추가적으로 프로세스 가스가 아산화질소(N2O) 및/또는 산화질소(NO)와 같은 산소 함유 가스를 포함할 때 막을 추가로 변환시키기 위한 산화 프로세스일 수 있다. 건식 어닐링 프로세스 동안, 프로세싱 챔버 내의 압력은 약 1 바 이상, 또는 보다 구체적으로 약 5 바 이상과 같은, 예를 들어, 바 정도의 고압에서 유지될 수 있다.
[0099] 증기 어닐링 프로세스는 약 350 ℃ 내지 약 1000 ℃ 범위와 같이 300 ℃ 초과의 온도에서 수행될 수 있다. 증기 어닐링 프로세스에서, 암모니아 가스(NH3), 아산화질소(N2O), 산화질소(NO), 또는 이들의 조합과 같은 다른 프로세스 가스가 있거나 또는 없는 상태로 증기(H2O)가 프로세싱 챔버 내에서 유동될 수 있다. 프로세스 가스가 있거나 또는 없는 증기는 프로세싱 챔버 내로 연속적으로 유동될 수 있거나, 또는 원하는 압력이 달성될 때까지 프로세싱 챔버 내로 유동되고 중단될 수 있으며, 여기서 압력은 이후 증기 어닐링 프로세스 동안 유지된다. 프로세스 가스가 있거나 또는 없는 증기의 유량은 예를 들어 약 5 sccm 내지 약 200 slm의 범위일 수 있다. 증기 어닐링 프로세스는 추가적으로 막을 더 변환시키기 위한 산화 프로세스일 수 있다. 증기 어닐링 프로세스 동안, 프로세싱 챔버 내의 압력은 약 1 바 이상, 또는 보다 구체적으로 약 5 바 이상과 같은, 예를 들어, 바 정도의 고압에서 유지될 수 있다.
[0100] 블록(710)의 제2 프로세스는, 예를 들어 제1 프로세스가 수행되는 압력에 따라, 밸브 조립체(316)가 개방 또는 폐쇄 포지션에 있는 동안, 다중 압력 프로세싱 챔버(300)에서 수행될 수 있다. 프로세스 가스(예를 들어, 증기를 포함함)는 고압을 확립하고 유지할 수 있는 가스 전달 시스템(307)을 통해 유동될 수 있다. 가열 요소들(318)은 제2 프로세스 동안 제1 챔버(302) 내의 온도를 유지할 수 있다.
[0101] 추가 예들에서, 블록(708)의 제1 프로세스는 안정화 프로세스에 추가하여 변환 프로세스이다. 변환 및 안정화 프로세스는 유동성 막(808)을 위에서 설명된 바와 같이 다른 유전체 조성을 갖도록 변환시킨다. 변환 프로세스는 산화 프로세스일 수 있다. 일부 예들에서, 산화 프로세스는 증기 산화 프로세스 또는 플라즈마 산화 프로세스이다. 증기 산화 프로세스에서, 증기(H2O)는 프로세싱 챔버 내에서 유동될 수 있다. 증기는 프로세싱 챔버 내로 연속적으로 유동될 수 있거나, 또는 원하는 압력이 달성될 때까지 프로세싱 챔버 내로 유동되고 중단될 수 있으며, 여기서 압력은 이후 증기 산화 프로세스 동안 유지된다. 증기의 유량은 예를 들어 약 5 sccm 내지 약 200 slm의 범위일 수 있다. 증기 산화 프로세스 동안, 프로세싱 챔버 내의 압력은 5 바 미만의 압력으로 유지될 수 있다. 증기 산화 동안의 압력은 블록(710)에서 제2 프로세스의 압력보다 낮다. 증기 프로세스는 약 100 ℃ 내지 약 300 ℃ 범위와 같이 100 ℃ 초과의 온도에서 수행될 수 있다. 증기 산화 동안의 온도는 블록(710)에서 제2 프로세스의 온도보다 낮다. 플라즈마 산화 프로세스에서, 플라즈마는 증기(H2O)를 사용하여 RPS에서 점화된다. 산소 함유 플라즈마 유출물들 및/또는 수소 함유 플라즈마 유출물들은 프로세싱 챔버 내에서 유동된다. RPS의 RF 전원은 13.56 MHz와 같이 약 2 MHz 내지 약 40 MHz 범위의 주파수를 가질 수 있고, 약 50 W 내지 약 3000 W 범위의 전력을 가질 수 있다. 플라즈마 산화 프로세스에서 증기의 유동, 온도 및 압력은 이전에 증기 산화에 대해 설명된 것과 같을 수 있다.
[0102] 하기 표 1은 일부 예들에 따른 프로세스들의 상이한 조합을 요약한다. 예는 표 1의 각 행에 포함되어 있다. 상이한 조합들이 구현될 수 있다. 예를 들어 제1 및 제2 프로세스들을 반복함으로써 추가 프로세스들이 수행될 수 있다.
Figure pct00001
[0103] 도 9는 변환된 그리고 고밀화된 막(814)의 단면도를 예시하고, 이 막은 기판(802) 상의 핀들(804) 상에서 및 핀들 사이에서, 유동성 막(808)으로부터 변환되었다. 일부 예들에서, 유동성 막(808)은 고농도의 질소 및/또는 수소를 포함하는 실리콘 기반 유전체로부터, 고밀화된 실리콘 이산화물로 변환된다. 이러한 예들에서, 변환된 그리고 고밀화된 막(814)은 원래 증착된 유동성 막(808)과 상이한 재료 조성인 고밀화된 실리콘 산화물이다.
[0104] 도 7의 블록(712)에 따르면, 블록(706)에서 프로세싱된 막은 에칭된다. 일부 예들에서, 블록(706)의 프로세싱 후에, 기판(802)은 프로세싱 챔버가 커플링되는 이송 챔버의 이송 로봇에 의해 프로세싱 챔버로부터 제거된다. 예를 들어, 기판(802)은 위에서 설명된 바와 같이 다중 압력 프로세싱 챔버(300)의 제1 챔버(302)로부터 제거될 수 있다. 기판(802)은 프로세싱 시스템(100) 내의 다른 프로세싱 챔버로 또는 다른 프로세싱 시스템의 프로세싱 챔버로 이송될 수 있다. 에칭은 임의의 적절한 에칭 프로세스에 의해 수행될 수 있다. 일부 예들에서, 에칭 프로세스는 습식 에칭이지만, 건식 에칭도 또한 구현될 수 있다. 또한, 습식 에칭은 묽은 불화수소산(dHF)을 사용할 수 있으며, 이는 실리콘 산화물에 선택적일 수 있다. 일부 예들에서, 100:1 dHF 용액이 실리콘 산화물의 변환되고 고밀화된 막을 에칭하기 위해 구현되었다. 블록(706)의 에칭의 에칭율은 더 균일하고 더 낮을 수 있으며, 이는 위에서 설명된 바와 같이 더 쉽게 제어될 수 있다.
[0105] 도 10은, 예를 들어, 변환되고 고밀화된 막(814)을 에칭한 후, 기판(802) 상의 핀들(804) 사이의 트렌치들(806)에서 변환되고 고밀화된 막(814)으로 형성된 격리 구조들(예를 들어, STIs)의 단면도를 예시한다. 에칭 프로세스의 결과로서, 핀들(804)은 이웃하는 격리 구조들 사이로부터 돌출된다. 격리 구조들의 최상부 표면들(예를 들어, 변환되고 고밀화된 막(814)의 최상부 표면)은 핀들(804)의 최상부 표면들로부터 임의의 깊이로 리세스될(recessed) 수 있고, 도 10의 예시는 단지 예일 뿐이다.
[0106] 그 사이에 격리 구조들을 갖는 핀들(804)은 그 후 임의의 적절한 디바이스 구조를 형성하기 위해 사용될 수 있다. 예를 들어, 핀들(804)은 FinFETs를 형성하기 위해 사용될 수 있다. 게이트 구조는 핀(804) 상에 그리고 핀에 대해 길이방향으로 수직으로 형성될 수 있다. 게이트 구조는 핀의 표면들을 따른 게이트 유전체(예를 들어, 하이-k(high-k) 게이트 유전체), 게이트 유전체 상의 하나 이상의 일-함수 튜닝(tuning) 층들, 및 일-함수 튜닝 층(들) 상의 금속 충전물을 포함할 수 있다. 게이트 구조는 게이트 구조 아래에 있는 각각의 핀(804)에 채널 영역을 정의할 수 있다. 소스/드레인(drain) 영역들(예를 들어, 에피택셜(epitaxial) 소스/드레인 영역들)은 채널 영역의 대향하는 측면들 상의 핀에 형성될 수 있다. 게이트 구조, 채널 영역, 및 소스/드레인 영역들이 함께 FinFET를 형성할 수 있다.
[0107] 전술한 바가 본 개시내용의 다양한 예들에 관한 것이지만, 다른 그리고 추가적인 예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 반도체 프로세싱 시스템(processing system)으로서,
    프로세싱 챔버(chamber); 및
    프로세서(processor) 및 메모리(memory)를 포함하는 시스템 제어기를 포함하며,
    상기 메모리는 명령들을 저장하고, 상기 명령들은 상기 프로세서에 의해 실행될 때, 상기 시스템 제어기로 하여금,
    유동성 프로세스에 의해 증착된 막을 상부에 갖는 기판 상에서 수행되는, 상기 프로세싱 챔버 내에서의 제1 프로세스 ― 상기 제1 프로세스는 안정화된 막을 형성하기 위해 상기 막의 결합들(bonds)을 안정화시키는 것을 포함함 ― 를 제어하게 하고, 그리고
    상기 막을 상부에 갖는 상기 기판 상에서 수행되는, 상기 프로세싱 챔버 내에서의 제2 프로세스 ― 상기 제2 프로세스는 상기 안정화된 막을 고밀화하는 것을 포함함 ― 를 제어하게 하는,
    반도체 프로세싱 시스템.
  2. 제1 항에 있어서,
    상기 제1 프로세스는 제1 압력에서 수행되고, 그리고
    상기 제2 프로세스는 상기 제1 압력보다 큰 제2 압력에서 수행되는,
    반도체 프로세싱 시스템.
  3. 제1 항에 있어서,
    상기 제1 프로세스는 제1 프로세스 가스 조성물을 유동시키는 것을 포함하여 수행되고, 그리고
    상기 제2 프로세스는 상기 제1 프로세스 가스 조성물과 상이한 제2 프로세스 가스 조성물을 유동시키는 것을 포함하여 수행되는,
    반도체 프로세싱 시스템.
  4. 제1 항에 있어서,
    상기 제1 프로세스는 제1 온도에서 수행되고, 그리고
    상기 제2 프로세스는 상기 제1 온도보다 높은 제2 온도에서 수행되는,
    반도체 프로세싱 시스템.
  5. 제1 항에 있어서,
    상기 제1 프로세스는 상기 막을 상이한 조성으로 변환시키는 것을 더 포함하는,
    반도체 프로세싱 시스템.
  6. 제1 항에 있어서,
    상기 제1 프로세스는 산소, 오존, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제1 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 그리고
    상기 제2 프로세스는 증기, 암모니아, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제2 프로세스 가스를 유동시키는 것을 포함하여 수행되는,
    반도체 프로세싱 시스템.
  7. 제1 항에 있어서,
    상기 제1 프로세스는 암모니아를 포함하는 제1 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 그리고
    상기 제2 프로세스는 증기, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제2 프로세스 가스를 유동시키는 것을 포함하여 수행되는,
    반도체 프로세싱 시스템.
  8. 제1 항에 있어서,
    상기 제1 프로세스는 증기를 포함하는 제1 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 제1 압력 및 제1 온도에서 수행되고, 그리고
    상기 제2 프로세스는 증기, 암모니아, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제2 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 제2 압력 및 제2 온도에서 수행되며, 상기 제2 압력은 상기 제1 압력보다 크고, 상기 제2 온도는 상기 제1 온도보다 높은,
    반도체 프로세싱 시스템.
  9. 제1 항에 있어서,
    상기 프로세싱 챔버에 유체적으로 커플링(couple)된 원격 플라즈마 소스(remote plasma source)를 더 포함하고,
    상기 명령들은, 상기 프로세서에 의해 실행될 때, 상기 시스템 제어기로 하여금, 상기 제1 프로세스, 상기 제2 프로세스, 또는 상기 제1 프로세스 및 상기 제2 프로세스 둘 모두 동안 상기 원격 플라즈마 소스에서 플라즈마를 점화하게 하는,
    반도체 프로세싱 시스템.
  10. 반도체 프로세싱을 위한 방법으로서,
    유동성 프로세스에 의해 증착된 막을 상부에 갖는 기판을 프로세싱 챔버 내로 이송하는 단계;
    상기 프로세싱 챔버 내에서, 상기 기판 상의 상기 막에 대해 제1 프로세스를 수행하는 단계 ― 상기 제1 프로세스는 안정화된 막을 형성하기 위해 상기 막의 결합들을 안정화시키는 것을 포함함 ―; 및
    상기 프로세싱 챔버 내에서, 상기 기판 상의 상기 막에 대해 제2 프로세스를 수행하는 단계 ― 상기 제2 프로세스는 상기 안정화된 막을 고밀화하는 것을 포함함 ― 를 포함하는,
    방법.
  11. 제10 항에 있어서,
    상기 제1 프로세스는 제1 압력에서 수행되고, 그리고
    상기 제2 프로세스는 상기 제1 압력보다 큰 제2 압력에서 수행되는,
    방법.
  12. 제10 항에 있어서,
    상기 제1 프로세스를 수행하는 단계는 제1 프로세스 가스 조성물을 유동시키는 단계를 포함하고, 그리고
    상기 제2 프로세스를 수행하는 단계는 상기 제1 프로세스 가스 조성물과 상이한 제2 프로세스 가스 조성물을 유동시키는 단계를 포함하는,
    방법.
  13. 제10 항에 있어서,
    상기 제1 프로세스를 수행하는 단계는 상기 막을 상이한 조성으로 변환시키는 단계를 포함하는,
    방법.
  14. 제10 항에 있어서,
    상기 제1 프로세스는 산소, 오존, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제1 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 그리고
    상기 제2 프로세스는 증기, 암모니아, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제2 프로세스 가스를 유동시키는 것을 포함하여 수행되는,
    방법.
  15. 제10 항에 있어서,
    상기 제1 프로세스는 암모니아를 포함하는 제1 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 그리고
    상기 제2 프로세스는 증기, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제2 프로세스 가스를 유동시키는 것을 포함하여 수행되는,
    방법.
  16. 제10 항에 있어서,
    상기 제1 프로세스는 증기를 포함하는 제1 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 제1 압력 및 제1 온도에서 수행되고, 그리고
    상기 제2 프로세스는 증기, 암모니아, 아산화질소, 산화질소, 또는 이들의 조합을 포함하는 제2 프로세스 가스를 유동시키는 것을 포함하여 수행되고, 제2 압력 및 제2 온도에서 수행되며, 상기 제2 압력은 상기 제1 압력보다 크고, 상기 제2 온도는 상기 제1 온도보다 높은,
    방법.
  17. 명령들을 저장하는 비-일시적 컴퓨터 판독 가능 저장 매체로서,
    상기 명령들은, 프로세서에 의해 실행될 때, 컴퓨터 시스템으로 하여금 동작들을 수행하게 하며, 상기 동작들은,
    프로세싱 시스템의 프로세싱 챔버 내에서 제1 프로세스 ― 상기 제1 프로세스는 유동성 프로세스에 의해 증착된 막을 상부에 갖는 기판 상에서 수행되고, 상기 제1 프로세스는 안정화된 막을 형성하기 위해 상기 막의 결합들을 안정화시키는 것을 포함함 ― 를 수행하도록 상기 프로세싱 시스템을 제어하는 동작; 및
    상기 프로세싱 챔버 내에서 제2 프로세스 ― 상기 제2 프로세스는 상기 안정화된 막을 상부에 갖는 상기 기판 상에서 수행되고, 상기 제2 프로세스는 상기 안정화된 막을 고밀화하는 것을 포함함 ― 를 수행하도록 상기 프로세싱 시스템을 제어하는 동작을 포함하는,
    비-일시적 컴퓨터 판독 가능 저장 매체.
  18. 제17 항에 있어서,
    상기 제1 프로세스는 제1 프로세스 가스 조성물을 유동시키는 것을 포함하여 수행되고, 그리고
    상기 제2 프로세스는 상기 제1 프로세스 가스 조성물과 상이한 제2 프로세스 가스 조성물을 유동시키는 것을 포함하여 수행되는,
    비-일시적 컴퓨터 판독 가능 저장 매체.
  19. 제17 항에 있어서,
    상기 제1 프로세스는 제1 압력에서 수행되고, 그리고
    상기 제2 프로세스는 상기 제1 압력보다 큰 제2 압력에서 수행되는,
    비-일시적 컴퓨터 판독 가능 저장 매체.
  20. 제17 항에 있어서,
    상기 제1 프로세스는 상기 막을 상이한 조성으로 변환시키는 것을 더 포함하는,
    비-일시적 컴퓨터 판독 가능 저장 매체.
KR1020227032039A 2020-02-17 2021-01-26 유동성 갭 충전 막을 위한 다단계 프로세스 KR20220143082A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/792,646 2020-02-17
US16/792,646 US11901222B2 (en) 2020-02-17 2020-02-17 Multi-step process for flowable gap-fill film
PCT/US2021/014991 WO2021167754A1 (en) 2020-02-17 2021-01-26 Multi-step process for flowable gap-fill film

Publications (1)

Publication Number Publication Date
KR20220143082A true KR20220143082A (ko) 2022-10-24

Family

ID=77273564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227032039A KR20220143082A (ko) 2020-02-17 2021-01-26 유동성 갭 충전 막을 위한 다단계 프로세스

Country Status (6)

Country Link
US (2) US11901222B2 (ko)
JP (1) JP7433457B2 (ko)
KR (1) KR20220143082A (ko)
CN (1) CN115104176A (ko)
TW (1) TW202139389A (ko)
WO (1) WO2021167754A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240029768A (ko) 2021-09-03 2024-03-06 어플라이드 머티어리얼스, 인코포레이티드 하나 이상의 압력 안정화 챔버들을 갖는 클러스터 툴들, 시스템들 및 방법들

Family Cites Families (606)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
EP0516344B1 (en) 1991-05-28 2003-10-01 Trikon Technologies Limited Method to fill a cavity in a substrate
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
US6929784B1 (en) 1999-03-04 2005-08-16 Surface Technology Systems Plc Chlorotrifuorine gas generator system
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
WO2000060659A1 (en) 1999-04-02 2000-10-12 Silicon Valley Group, Thermal Systems Llc Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2003243374A (ja) 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
WO2004032189A2 (en) 2002-09-30 2004-04-15 Miasolé Manufacturing apparatus and method for large-scale production of thin-film solar cells
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
US7027722B2 (en) 2002-11-25 2006-04-11 Koyo Thermo Systems Co., Ltd. Electric heater for a semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7086638B2 (en) 2003-05-13 2006-08-08 Applied Materials, Inc. Methods and apparatus for sealing an opening of a processing chamber
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
WO2005064649A2 (en) 2003-12-23 2005-07-14 Schumacher John C Exhaust conditioning system for semiconductor reactor
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JPWO2006098101A1 (ja) 2005-03-16 2008-08-21 日本電気株式会社 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
ES2317159T3 (es) 2005-06-10 2009-04-16 Obducat Ab Replicacion de modelo con sello intermedio.
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
CN101360849B (zh) 2005-11-18 2013-05-15 莱里斯奥鲁斯集团 一种形成多层结构的方法
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
KR101468606B1 (ko) 2007-05-25 2014-12-04 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
WO2009055750A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
EP2289095B1 (en) 2008-05-02 2019-07-03 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) * 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
TWI527930B (zh) 2009-02-04 2016-04-01 應用材料股份有限公司 用於電漿製程的接地回流路徑
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2012518281A (ja) 2009-02-15 2012-08-09 ウッドラフ、ジェイコブ 平衡前駆体から作られる、太陽電池の吸収層
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
CN102439697B (zh) 2009-04-03 2015-08-19 应用材料公司 高压rf-dc溅射及改善此工艺的膜均匀性和阶梯覆盖率的方法
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
CN102473748B (zh) 2009-07-01 2014-08-20 三菱电机株式会社 薄膜太阳能电池及其制造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (ja) 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
KR101995704B1 (ko) 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
WO2011132625A1 (en) 2010-04-23 2011-10-27 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
WO2012134025A1 (ko) 2011-03-25 2012-10-04 Lee Seo Young 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
KR101459502B1 (ko) 2011-07-13 2014-11-07 어플라이드 머티어리얼스, 인코포레이티드 박막 트랜지스터 디바이스들을 제조하는 방법들
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
WO2013083129A1 (en) 2011-12-08 2013-06-13 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
JPWO2013129701A1 (ja) 2012-03-02 2015-07-30 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
JP5792390B2 (ja) 2012-07-30 2015-10-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (ja) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
JP6703937B2 (ja) 2013-03-15 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
CN105453227B (zh) 2013-08-21 2018-10-19 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
TWI523222B (zh) 2013-10-14 2016-02-21 國立交通大學 含氮化鎵之半導體結構
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
CN112759278A (zh) 2013-12-22 2021-05-07 应用材料公司 用于紫外线光刻的玻璃陶瓷及其制造方法
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9338834B2 (en) 2014-01-17 2016-05-10 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for microwave-radiation annealing
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
WO2015143371A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
EP3155650A4 (en) 2014-06-16 2018-03-14 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9613859B2 (en) 2015-01-09 2017-04-04 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
SG10202012631SA (en) 2015-02-06 2021-01-28 Versum Materials Us Llc Compositions and methods using same for carbon doped silicon containing films
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
TWI723993B (zh) 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
KR102542758B1 (ko) 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
KR20180006496A (ko) 2015-06-05 2018-01-17 어플라이드 머티어리얼스, 인코포레이티드 서셉터 포지션 및 회전 장치, 및 사용 방법들
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
FR3042400A1 (fr) 2015-10-15 2017-04-21 Essilor Int Dispositif de test du comportement visuel d'un individu et methode de determination d'au moins un parametre de conception optique d'une lentille ophtalmique utilisant un tel dispositif
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102358289B1 (ko) 2016-03-11 2022-02-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 복합체 및 트랜지스터
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10460933B2 (en) 2017-03-31 2019-10-29 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
KR102271768B1 (ko) 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR20230146121A (ko) 2017-04-21 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
JP7175283B2 (ja) 2017-05-03 2022-11-18 アプライド マテリアルズ インコーポレイテッド 高温セラミックヒータ上の集積化基板温度測定
WO2018212999A1 (en) * 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
CN111656510A (zh) 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Also Published As

Publication number Publication date
JP7433457B2 (ja) 2024-02-19
JP2023513796A (ja) 2023-04-03
US11901222B2 (en) 2024-02-13
US20210257252A1 (en) 2021-08-19
WO2021167754A1 (en) 2021-08-26
CN115104176A (zh) 2022-09-23
US20240128121A1 (en) 2024-04-18
TW202139389A (zh) 2021-10-16

Similar Documents

Publication Publication Date Title
TWI774793B (zh) 用於製造半導體應用的奈米線之選擇性氧化
CN110678959B (zh) 氮化硅膜的高压处理
KR102316186B1 (ko) 격리 구조를 위한 스케일링된 라이너 층
US7972933B2 (en) Method of selective nitridation
US20080026553A1 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
JPWO2009099252A1 (ja) 絶縁膜のプラズマ改質処理方法
US20090065816A1 (en) Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
US20240128121A1 (en) Multi-step process for flowable gap-fill film
US11114306B2 (en) Methods for depositing dielectric material
KR20200055663A (ko) 통합 반도체 처리
WO2007049510A1 (ja) 処理方法及び記録媒体
TW202044560A (zh) 用於記憶體應用的垂直電晶體製造
KR102092760B1 (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
JP7209567B2 (ja) エッチング方法およびエッチング装置
US20220230887A1 (en) Methods and apparatus for processing a substrate
US9852903B2 (en) System and method in indium-gallium-arsenide channel height control for sub 7nm FinFET
TW202140837A (zh) 低溫無蒸汽氧化物間隙填充