CN110678959B - 氮化硅膜的高压处理 - Google Patents

氮化硅膜的高压处理 Download PDF

Info

Publication number
CN110678959B
CN110678959B CN201880034510.7A CN201880034510A CN110678959B CN 110678959 B CN110678959 B CN 110678959B CN 201880034510 A CN201880034510 A CN 201880034510A CN 110678959 B CN110678959 B CN 110678959B
Authority
CN
China
Prior art keywords
chamber
workpiece
high pressure
gas
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880034510.7A
Other languages
English (en)
Other versions
CN110678959A (zh
Inventor
基思·塔特森·王
肖恩·S·康
斯里尼瓦斯·D·涅曼
怡利·Y·叶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110678959A publication Critical patent/CN110678959A/zh
Application granted granted Critical
Publication of CN110678959B publication Critical patent/CN110678959B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

涉及处理在工件上的氮化硅膜的工艺的方法和系统,包括:在腔室中支撑工件,将胺气引入腔室中且建立至少5个大气压的压力;并且当腔室中的压力是至少5个大气压时,将工件上的氮化硅膜暴露于胺气。

Description

氮化硅膜的高压处理
技术领域
本发明涉及在诸如半导体晶片的工件上的氮化硅层的高压处理。
背景技术
微电子电路和其他微尺度器件通常是通过在基板或晶片上顺序沉积和图案化多个层来制造,诸如在硅或其他半导体材料晶片上。对于一些应用,绝缘膜、例如是氮化硅,被沉积在基板上以形成蚀刻终止层、掩模层,或栅极间隔层。
对于一些层,为了实现所需材料性质,基板通常经受退火工艺,在所述退火工艺中,基板常常被快速加热至约200℃至500℃,且更典型地至300℃至400℃。基板被保持在所述温度达相对短的时间,例如60至300秒。基板随后可快速地冷却,其中整个过程通常仅花费几分钟。退火可用于改变基板上的层的材料性质。退化也可用于活化掺杂剂、驱动基板上的膜之间的掺杂剂、改变膜对膜或膜对基板界面、致密化沉积的膜,或修复来自离子注入的损坏。
发明内容
在一方面中,处理在工件上的包括氮化硅键的电介质膜包括:在腔室中支撑工件,所述工件具有包括氮化硅键的电介质膜;将胺气引入腔室中;在腔室中建立至少5个大气压的压力;并且当腔室中的压力为至少5个大气压时,将包括氮化硅的电介质膜暴露于胺气。
此方面的其他实施方式包括被配置以执行方法的动作的对应系统、装置,以及在计算机存储设备上编码的计算机程序。
所述和其他实施方式可各自可选地包括以下特征中的一或多个。
电介质膜的温度可升高至介于200℃与500℃之间。可通过将用于腔室中的工件的支撑件维持在升高的温度来升高氮化硅膜的温度。电介质膜的温度可在腔室中建立至少5个大气压的压力之前升高。
在腔室中建立压力可包括在腔室中引入胺气。在一些实现方式中,胺气包括氨气。胺气可包括甲胺气体和/或二甲胺气体。在一些实现方式中,电介质膜被暴露于胺气达至少5分钟且不超过一小时。
电介质膜可以是在制造中的鳍式场效晶体管(fin field-effect transistor;FinFET)的一部分。
在另一方面中,一种在工件上形成电介质材料的方法包括:通过可流动化学气相沉积(flowable chemical vapor deposition;FCVD)在工件上沉积包括氮化硅键的电介质膜;并且当腔室中的压力为至少5个大气压时,将包括氮化硅键的电介质膜暴露于腔室中的胺气。在一些实现方式中,电介质膜在工件上的沉积是在低于380℃的温度下。
在另一方面中,退火系统包括:腔室主体,界定腔室;支撑件,用于利用暴露于腔室中的环境的工件的外表面来保持工件;机器人,用于将工件插入腔室中;气源,用以提供胺气至腔室;压力源,耦接至腔室以将腔室中的压力升高至至少5个大气压;和控制器,耦接至机器人、气源和压力源。控制器被配置以致使机器人运输在其上具有电介质膜的工件至腔室中,致使气源供应胺气至腔室,并且致使压力源当工件保持在腔室中的支撑件上时将腔室中的压力升高至至少5个大气压。
退火系统可包括加热器,以将支撑件上的工件的温度升高至250℃至500℃之间。加热器可包括嵌入在支撑件中的电阻式加热器,和/或加热器可为在腔室主体的壁中的辐射加热器,所述辐射加热器被定位以照射支撑件上的工件。压力源可包括泵。
在本说明书中描述的主题的特定实施方式可被实施以便实现以下优点中的一或多个。氮化硅膜的沉积后退火可提高膜质量,例如通过加强氮化硅膜中的Si-N-Si网络并且降低氮化硅膜中的杂质(例如,氧及碳)来提高膜质量。高压胺气的使用允许通过提高气体至氮化硅层中的扩散、维持工件的处理后的相对较低的热预算并且保持整体层结构质量来在退火工艺期间使用低温。此外,相对低的热预算降低了对在工件上的其他预先存在的特征的温度相关的效应(例如,降低的掺杂剂扩散)。另外,低温可用于沉积氮化硅膜,从而降低氮化硅层与相邻层(例如,钨层)的混合。高压气体的使用也可在某些应用(例如,FCVD氮化硅间隙填充应用)中具有物理影响,以使得高压可能影响氮化硅膜的回流以在氮化硅膜中实现提高的无空隙间隙填充。
在附图和以下描述中阐述了本发明的一或多个实施方式的细节。本发明的其他特征、目标和优点将从描述和附图,和从权利要求书中显而易见。
附图说明
图1是高压基板处理系统的方块图。
图2是用于通过在高压基板处理系统中的高压处理来退火氮化硅膜的示例性工艺流程的流程图。
图3描绘示例性高压基板处理系统。
图4描绘高压基板处理系统的另一实例。
在各个附图中的类似附图标号指示类似元件。
具体实施方式
通常,需要提高沉积在工件上的层的膜品质,例如,在半导体晶片上的已沉积的氮化硅膜的膜品质。例如,沉积在半导体晶片上的氮化硅膜可用于图案化工艺中,用于制造鳍式场效晶体管(FinFET)。差的膜质量可以各种方式出现;不限于任何特定理论,差的膜质量可由在沉积工艺期间的杂质产生。例如,使用特定的沉积化学物质以沉积氮化硅膜可由于Si-H和N-H键合而在氮化硅膜中产生缺陷。在一些实现方式中,由于Si-C和C-N键缺陷在氮化硅膜中产生。另外,在氮化硅膜暴露于空气之后,一些缺陷可转换为Si-O键。物理针孔和/或空隙也可存在于沉积的氮化硅膜中,从而导致较差的膜质量。
在氮化硅膜中的差的膜质量也可由在氮化硅层的沉积工艺期间的Si-N-Si网络的不完全形成所产生。例如,用于沉积氮化硅膜的高温导致氮化硅膜与周围层(例如,钨)的混合。为了缓解氮化硅膜与相邻层(钨)的混合,使用较低的沉积温度用于沉积氮化硅膜,这样导致较低的膜质量。
下文描述了用于高压处理的系统和方法以使用高压退火来提高氮化硅膜质量。沉积在工件上的氮化硅膜暴露于高压(例如,至少5个大气压)的胺气(例如,氨气),同时在高温(例如,200℃至500℃)下保持几分钟至一小时。再次不限于任何特定理论,本文所述的高压处理可有效减少悬空的Si和N键,以及降低由氮化硅层中的沉积工艺产生的污染(例如,Si-C键)。高压处理也可有效将Si-H键和Si-O键转换至Si-N键,并且也可进一步用于破坏Si-H键。这样可降低以下的不利效应,诸如氮化硅层的不均匀的蚀刻速率和/或高蚀刻速率,和减少FinFET装置中的氮化硅栅极间隔层的泄漏。
系统
图1是高压基板处理系统100的方块图。高压基板处理系统100包括高压腔室102。
高压腔室102被配置以包含至少5个大气压的压力,例如,至少10个大气压的压力,并且可以能够当在真空下时保持高达10^-3托的真空水平。在一些实现方式中,高压基板处理系统100包括例如当在处理腔室之间(例如,从另一处理腔室至高压腔室102中)传送工件时的低压环境,例如,真空腔室104。可彼此独立地控制高压腔室102和低压(例如,真空)腔室104内的相对压力。
包括机械臂的机器人(图1中未描绘)可用于传送工件进出高压腔室,例如,在多腔室基板处理工具的腔室之间传送。
高压腔室102包括支撑件,例如用于支撑高压腔室102中的工件的基座106。基座106可使用各种支撑机构来支撑一或多个工件,例如基座106可使用锁定销和弹簧来支撑工件,和/或工件可直接放在基座106顶部上。
在一些实现方式中,高压腔室102包括一或多个加热元件108。例如,加热元件108a是电阻加热器并且集成至基座106中用于加热工件。在一些实现方式中,高压腔室102包括加热元件108b,其中加热元件108b可在高压腔室102内加热和维持所选择的温度。加热元件108b可以是在高压腔室主体的壁中嵌入的辐射加热器,并且被定位以照射基座106上的工件。当基座支撑在基座106上并且气体(若使用)已引入至高压腔室102中时,来自加热元件108的热量可足以将工件退火。加热元件108可以是电阻加热元件,并且可传导地和/或辐射地加热工件。另外,加热元件108可包括分散的加热线圈,或辐射加热器(例如,红外线灯)。
气体输送系统110可操作以使高压腔室102加压和减压。气体输送系统110提供气体混合物至高压腔室102以建立高压,例如至少5个大气压的压力。在一些实现方式中,气体输送系统110包括排放系统112以从高压腔室102排放气体,从而使高压腔室102减压。气体输送系统包括压力源以将腔室102中的压力升高至高压。压力源可包括:泵,例如旋转泵、涡旋泵或螺杆泵,所述泵被配置以将气体泵送至腔室102,直至达到所需压力;和/或压缩气瓶,所述压缩气瓶的压力足以使在气瓶流体连接至腔室102之后,平衡压力将达到所需压力。
泵送系统114包括用于降低高压腔室102和/或真空腔室104中的压力的一或多个泵。泵可包括一或多个旋转泵、涡旋泵和螺杆泵。例如,泵送系统114可用于将真空腔室104中的压力降低至处于真空或接近真空压力,例如,小于1毫托。在另一实例中,泵送系统114可在高压腔室102的泵送和净化循环期间使用,以降低在工艺操作之前的高压腔室102中的污染物的存在。
在一些实现方式中,阀组件116将高压腔室102和真空腔室104之间的相对压力隔离。因此在高压腔室102内的高压环境可与真空腔室104内的低压环境隔离且密封。阀组件116可操作以使工件直接在高压腔室102与真空腔室104之间传送。
在一些实现方式中,高压基板处理系统100包括前级管道118,所述前级管道连接至真空腔室104且连接至外部环境。隔离阀120被沿着前级管道118布置以将真空腔室104内的压力与外部环境的压力隔离。隔离阀120可操作以调整真空腔室104内的压力并且释放真空腔室104内的压力。隔离阀120可结合泵送系统114操作以调节真空腔室104内的压力。
高压基板处理系统100的一或多个操作可由一或多个控制器122控制。控制器122,例如,通用可编程计算机,被连接至且可操作以控制高压基板处理系统100的各种元件的一些或所有元件。由控制器122控制的操作可包括例如,高压腔室102内的加热元件108的温度调节、高压腔室102内的压力调节、真空腔室104内的真空调节、气体输送系统110的流速和气体输送,和泵送系统114中的一或多个泵的操作。例如,控制器122可被程式化以产生控制信号,所述控制信号致使高压基板处理系统100的部件执行下文参考图2所述的工艺。
氮化硅膜的高压处理
图2是用于在高压基板处理系统100中的工件上高压退火氮化硅膜的示例性工艺流程200的流程图。在一实例中,工件包括半导体基板(例如,硅),且具有沉积在基板上的氮化硅膜。在一些实现方式中,氮化硅膜形成在基板上制造的鳍式场效晶体管(FinFET)结构的一部分;并且工件也可包括其他材料(例如,TiN、钨)的层。氮化硅膜可在单独的处理步骤中使用可流动化学气相沉积(flowable chemical vapor deposition;FCVD)沉积在工件上。在一些实现方式中,等离子体蚀刻化学气相沉积(plasma-etched chemical vapordeposition;PECVD)、低压化学气相沉积(low pressure chemical vapor deposition;LPCVD),和/或原子层沉积(atomic layer deposition;ALD)可用于沉积氮化硅膜。
工件例如通过机器人被插入至腔室中,并且随后支撑在腔室中,例如在高压腔室102(202)内的基座106上。在一些实现方式中,使用一或多个加热元件108将高压腔室102和/或基座106保持在特定温度(例如,200℃至500℃)下。在将工件引入至高压腔室102中之前,可建立高压腔室102和/或基座106的温度。此外,当工件由基座106在高压腔室102中支撑时,工件(例如,基板上的氮化硅膜)的温度可通过使用一或多个加热元件108在特定温度(例如,200℃至600℃)下建立。在一些实现方式中,在高压腔室102中建立至少5个大气压的压力之前,升高工件(例如,基板上的氮化硅膜)的温度。
胺气被引入至高压腔室102(204)中。胺气可以是氨气或另一较小和反应性胺气(例如,甲胺气体或二甲胺气体)。在一些实现方式中,多种不同的胺气(例如,胺气和甲胺气体)可在通过气体输送系统110输送至高压腔室102中之前混合成为气体混合物,或多种不同的胺气(例如,胺气和甲胺气体)可通过气体输送系统110的各个喷嘴输送至高压腔室102中,并且在高压腔室102中混合。在一些实现方式中,胺气可在通过气体输送系统110输送至高压腔室102中之前与惰性气体(例如,氮气、氩气或氦气)混合,例如,以降低气体混合物的可燃性。
气体输送系统110可在高压腔室102(206)中建立5至50个大气压的总压力。在一些实现方式中,高压腔室中的总压力是至少10个大气压。高压腔室102中的胺气的总压力可被建立为腔室中的静态压力,或可通过在退火工艺期间胺气进出腔室的流动来建立。5个至50个大气压的总压力可通过胺气来提供。例如,引入至高压腔室中的气体可由胺气组成,即,仅胺气被引入至高压腔室中。
在高压腔室102中建立所需压力之后,在工件上的氮化硅膜被暴露于胺气,同时高压腔室102保持在升高压力(208)下。暴露时间包括几分钟至几小时(例如,至少5分钟,且不超过一小时)。在一些实现方式中,退火温度(例如,在退火工艺期间的工件的温度)、高压腔室102中的胺气压力、和对于高压退火工艺的暴露时间可以是相关的,以使得可通过调整上述(和其他)变量来找到最佳操作参数。
不限于任何特定理论,高压胺气处理可有效将Si-H键和Si-O键转换为Si-N键,且此外可有效破坏N-H键,所述N-H键将实现Si-N键在氮化硅膜中的形成。
在一些实现方式中,在工件的加热工艺之前或期间,胺气通过气体输送系统被引入高压腔室102。例如,当加热元件108使基座106上的工件达到特定所需温度时,高压胺气(例如,氨气)可被引入至高压腔室102中。
在一些实现方式中,当工件在真空腔室104中时可被加热至特定温度,并且随后由机器人(未描绘)传送至高压腔室102,胺气(例如,氨气)可引入所述高压腔室。
在一些实现方式中,氮化硅膜沉积在工件上,所述工件随后经历本文所述的高压处理。例如,氮化硅膜可使用例如三甲硅烷基胺/硅烷/氨的气体成分通过可流动化学气相沉积(FCVD)沉积在工件上。由于对于氮化硅膜的较低(例如,低于380℃)的沉积温度,可产生较低的膜品质。当在高压腔室102中的压力是至少5个大气压时,氮化硅膜可随后暴露于高压腔室102中的胺气。在一些实现方式中,氮化硅膜被用作蚀刻硬掩模,以使得在工件上的氮化硅层的高压处理之前和/或之后,可在氮化硅层上(例如,使用诸如SF6/CH4/N2/O2等离子体的等离子体气体成分)执行在氮化硅层中蚀刻沟槽的工艺。
高压基板处理系统的实施方式
图3和图4描绘高压基板处理系统的两个实施方式。图3示出示例性高压基板处理系统300,包括第一腔室302(例如,高压腔室102)、基座304、第二腔室306(例如,真空腔室104),和控制器(例如,控制器122)。高压基板处理系统300进一步包括类似于相关于图1所述的泵送系统114的泵送系统(未示出)和类似于相关于图1所述的气体输送系统110的气体输送系统307。例如,气体输送系统307包括输入管线307a和排放管线307b。胺气是通过输入管线307a引入第一腔室302中,并且胺气是通过排放管线307b从第一腔室302排放。
基座304支撑工件(即,基板)314,在所述工件上,材料膜(例如,氮化硅膜)将通过高压处理来处理。基座在第一腔室302内定位或可定位。在一些实现方式中,基板314直接位于基座的平坦顶表面上。在一些实现方式中,基板314位于从基座突出的销330上。高压基板处理系统300包括内壁320、底座322,和外壁324。第一腔室302是由内壁320内的容积提供,例如,在内壁320与底座322之间的容积。第二腔室306是由内壁320之外的容积提供,例如,在内壁320与外壁324之间的容积。
高压基板处理系统300进一步包括在第一腔室302与第二腔室306之间的阀组件316,所述阀组件提供图1的阀组件116的功能性,即,所述阀组件可操作以将第一腔室302与第二腔室306隔离。例如,阀组件316包括内壁320、底座322和致动器323,所述致动器将底座322相对于内壁320移动。致动器可被控制以驱动底座322以例如远离或朝向界定第一腔室302的壁320垂直移动。波纹管328可用于将第二腔室306与外部大气密封,同时允许底座322垂直移动。波纹管328可从底座322的底部延伸至由外壁324形成的第二腔室306的底板。
当阀组件316处于封闭位置时,底座322与壁320接触,以使得在底底座322与壁320之间形成密封,因此将第二腔室306与第一腔室302分离。致动器323被操作以利用足够的力驱动底座322朝向内壁以形成密封。所述密封阻止来自第一高压腔室302的空气排放至低压第二腔室306中。
当阀组件316处于打开位置时,底座322与壁320间隔开,从而允许空气在第一腔室302与第二腔室306之间传导,并且还允许基板314被存取并且传送至另一腔室。
因为基座304是支撑在底座322上,所以基座304由此也相对于内壁320可移动。基座304可移动以使得基板314更易于由传送机器人所存取。例如,传送机器人(未描绘)的臂可延伸通过外壁324中的孔326。当阀组件316处于打开位置时,机器人臂可通过内壁320与底座322之间的间隙以存取基板314。在一些实现方式中,高压基板处理系统300包括被配置以施加热量至基板314的一或多个加热元件318。当基板314支撑在基座304上并且前驱物气体(若使用)已引入至第一腔室302中时,来自加热元件318的热量可足以将工件314退火。加热元件318可以是电阻加热元件。一或多个加热元件318可定位在界定第一腔室302的内壁320中,例如嵌入其中。这样将内壁320加热,致使辐射热量到达基板314。基板314可由基座304极接近于内壁的顶板而保持,以提高热量从内壁320至基板314的传输。
然而,一或多个加热元件318可在高压基板处理系统300内的其他位置中布置,例如,在侧壁内而不是顶板内布置。加热元件318的实例包括分散的加热元件。作为内壁320中嵌入的加热器的替代或除了所述加热器以外,辐射加热器(例如,红外线灯)可位于第一腔室302外部并且将红外线辐射引导通过内壁320中的窗口。电线将诸如电压源的电源(未示出)连接至加热元件,并且可将一或多个加热元件318连接至控制器。
控制器可操作地连接至泵送系统、气体输送系统307、阀组件316,用于控制执行在基板314上的材料层的高压处理的操作。在一些实现方式中,控制器也可以可操作地连接至其他系统。例如,控制器也可可操作地连接至传送机器人(未描绘)、一或多个加热元件318和/或致动器323的一或多个。在一些情况下,图1中所示的控制器122包括高压基板处理系统300的控制器。
在用以执行基板314上的材料的层的高压处理的工艺中,控制器可操作泵送系统以使第二腔室306减压至低压状态,例如至第二腔室306具有小于1个大气压的压力的状态,以准备基板314通过第二腔室306的传送。低压状态可以是接近真空状态,例如,小于1毫托的压力。基板314通过传送机器人(未示出)移动通过第二腔室306,同时第二腔室306处于低压下以使得基板314的污染和氧化可被阻止。
基板314被传送至第一腔室302中以用于处理。为了将基板314传送至第一腔室302中,控制器可操作阀组件316,例如打开阀组件316以提供基板314可通过所述阀组件传送至第一腔室302中的开口。控制器可操作传送机器人以将基板314携带至第一腔室302中并且将基板314放置在基座304上。
在基板314传送至第一腔室302中之后,控制器可操作阀组件316以关闭开口(例如,关闭阀组件316),从而将第一腔室和第二腔室302、306彼此隔离。在阀组件316关闭的情况下,第一腔室302和第二腔室306中的压力可被设置为不同值。控制器可操作气体传送系统307以将胺气引入第一腔室302中,以使第一腔室302加压。
胺气的引入可将第一腔室302内的压力增加,例如,增加至5个大气压或更大压力。
第一腔室302中的胺气和适当温度与压力条件可引起材料的高压处理发生,例如,如参考图2所描述。在高压处理期间,控制器可操作一或多个加热元件318以增加热量至基板314,以促进在基板314上的材料层的退火。
当高压处理完成时,可使用传送机器人将基板314从第一腔室302去除;并且如果必要,基板314可被传送至后续工艺腔室或至外部环境。或者,基板314被传送至负载锁定腔室(未示出)。为了准备将基板314传送出第一腔室302,控制器可在阀组件316打开之前操作气体输送系统307的排放系统以使第一腔室302减压。特别地,在基板314传送出第一腔室202之前,前驱物气体从第一腔室302排放以降低第一腔室202内的压力。第一腔室302中的压力可降低至接近真空压力,以使得在第一腔室303与第二腔室306之间的压力差可最小化。
为了使基板314能够从第一腔室302传送出,控制器可打开阀组件316。打开的阀组件316提供一开口,基板314被移动以传送通过所述开口而至第二腔室306中。特别地,打开的阀组件316使得基板314被直接传送至第二腔室306中,例如,至第二腔室306的低压环境中。
图4描绘高压基板处理系统400的另一实例,包括第一腔室402(例如,高压腔室102)、基座404、第二腔室406(例如,真空腔室104),和类似于图1中所示的控制器122的控制器。高压基板处理系统400类似于相关于图3所述的高压基板处理系统300;除非另外指定,否则各种选项和实现方式也适用于此实施方式。
例如,高压基板处理系统400的气体输送系统和泵送系统以类似方式操作以维持对于使用高压基板处理系统400处理的基板414的低压和高压环境。第二腔室406可由在内壁420与外壁424之间的容积来界定。此外,基板也可支撑地在基座404上用于在第一腔室402内进行处理。同样,基板也可直接位于基座404上,或位于通过所述基座而延伸的升降销430上。
高压基板处理系统400在一些方面不同于图3的高压基板处理系统300。首先,界定第一腔室402的内壁420相对于界定第一腔室402的底座422不可移动。基座404因此相对于内壁420和底座422固定。在一些实例中,基座404固定至界定第一腔室402的底座422。
与布置在第一腔室402的内壁420中的情况不同,如对于图3的一或多个加热元件318的情况所示,图4中所示的实施方式的一或多个加热元件418被布置在基座404内。基板414因此通过与基座404接触而加热。高压基板处理系统400进一步包括在第一腔室402与第二腔室406之间的阀组件416,类似于图3的阀组件316,所述阀组件416将第一腔室402与第二腔室406隔离。然而,与阀组件316相反,阀组件416不是由界定第一腔室402的壁420和底座422形成,而是由相对于第一腔室402的内壁420和底座422可移动的臂425所形成。臂425可相对于第一腔室402的内壁420和底座422移动。
特别地,阀组件416包括在第一腔室402与第二腔室406之间的狭缝阀423。狭缝阀423包括狭缝423a和臂425。狭缝423a延伸通过第一腔室402的内壁420中的一个。臂425的近端425a定位在第一腔室402的外部,而臂425的远端425b定位在第一腔室402内。臂425的近端425b可定位在第二腔室406内,并且可由位于第二腔室406内的致动器驱动。或者,臂425的近端425b可定位在第二腔室406外部,并且因此由同样位于第二腔室406外部的致动器428驱动。臂425延伸通过狭缝423a并且相对于壁420可移动,以使得臂425可被移动至其中所述臂可与壁420形成密封的位置。致动器428耦接至臂425的近端425a并且相对于壁420驱动臂425的远端425b。臂425也可垂直移动以覆盖或露出狭缝423a。特别地,臂425的近端425a可以是或包括凸缘,所述凸缘大致平行于内壁420的相邻内表面延伸。臂425也可侧向地移动和驱动,以使得臂425的远端425b可与内壁420接合或脱离。
臂425也可延伸通过外壁424中的孔(例如,狭缝)426。
类似于阀组件316,阀组件416在打开位置与关闭位置之间可移动。当阀组件416处于关闭位置中时,臂425的远端425b覆盖狭缝426并且接触内壁420中的一个,从而形成密封以将第一腔室402与第二腔室406隔离。特别地,臂425的远端425b,例如是凸缘,接触界定第一腔室402的壁420的内表面。
当阀组件416处于打开位置时,臂425的远端425b与内壁横向间隔开,例如,与内壁420的内表面横向间隔开。此外,臂425的远端425b被垂直定位以使得露出狭缝426。狭缝426因此提供一开口,所述开口实现第一腔室402和第二腔室406之间的流体连通,并且还实现例如通过如上文论述的机器人将基板414移入和移出第一腔室402。
控制器可以类似于相对于高压基板处理系统300的控制器描述的工序类似的方式操作高压基板处理系统400,以将基板414传送进出第一腔室402并且以在基板414上的材料层上执行高压处理。在打开或关闭阀组件416的此工序中,控制器可操作致动器428以驱动臂425。
图4中所示的配置的优点在于第一腔室402内的压力有助于将臂425的远端425b推抵内壁420的内表面。结果,与图3中所示的配置相反,致动器功率可能较低。
本文描述的系统的控制器和其他计算装置可在数字电子电路系统中,或在计算机软件、固件或硬件中实施。例如,控制器可包括处理器,用以执行如存储在计算机程序产品中的计算机程序,例如,在非暂时性机器可读存储介质中的计算机程序。此计算机程序(又称为程序、软件、软件应用程序或代码)可以任何形式的程序设计语言编写,所述语言包括编译或解释语言,并且所述计算机程序可以任何形式部署,包括如独立程序或如适合在计算环境中使用的模块、元件、子例程或其他单元。
虽然本文件包含许多特定的实现方式细节,但是所述实现方式细节不应解释为对任何发明或可能要求保护的范围的限制,而是作为针对特定发明的特定实施方式的描述。在各个实施方式的上下文中的本文件中描述的某些特征也可在单个实施方式中组合实施。相反地,在单个实施方式的上下文中描述的各个特征也可分别在多个实施方式中或以任何适当的子组合实施。此外,尽管上文可以将特征描述为以某些组合起作用并且甚至为最初所要求保护的那样,但是可在一些情况下从组合中去除来自所要求保护的组合的一或多个特征,并且所要求保护的组合可针对子组合或子组合的变化。
尽管上文论述已专注于氮化硅,但是也可例如通过低温或FCVD工艺沉积包括硅氮键的其他电介质膜,例如,氮氧化硅(SiON)或碳氮化硅(SiCN),并且可使用本文描述的高压处理来处理。
相应地,其他实施方式在以下权利要求书的范围内。

Claims (13)

1.一种处理在工件上的包括硅氮键的电介质膜的方法,包含:
在腔室中支撑所述工件,所述工件具有包括硅氮键的所述电介质膜;
将所述电介质膜的温度升高至200℃至500℃之间;
将胺气引入所述腔室中;
在所述腔室中建立至少5个大气压的压力;和
当在所述腔室中的所述压力是至少5个大气压时,将所述工件上的所述电介质膜暴露于所述胺气。
2.如权利要求1所述的方法,所述电介质膜的所述温度可在所述腔室中建立至少5个大气压的压力之前升高。
3.如权利要求1所述的方法,其中所述胺气包含氨气、甲胺气体和二甲胺气体中的至少一种。
4.如权利要求1所述的方法,其中所述电介质膜是制造中的鳍式场效晶体管的一部分。
5.如权利要求1所述的方法,包含将所述电介质膜暴露于所述胺气达至少5分钟。
6.如权利要求1所述的方法,其中所述电介质膜是氮化硅、氮氧化硅或碳氮化硅膜。
7.一种在工件上形成电介质膜的方法,包含:
通过可流动化学气相沉积在所述工件上沉积包括硅氮键的电介质膜;
将所述电介质膜的温度升高至200℃至500℃之间;和
当腔室中的压力是至少5个大气压时,将在所述工件上的包括硅氮键的所述电介质膜暴露于腔室中的胺气。
8.如权利要求7所述的方法,其中所述电介质膜在所述工件上的沉积是在低于380℃的温度下。
9.如权利要求7所述的方法,包含通过在所述腔室中引入所述胺气来在所述腔室中建立压力。
10.如权利要求7所述的方法,其中所述胺气是氨气。
11.如权利要求7所述的方法,其中所述电介质膜是鳍式场效晶体管的一部分。
12.如权利要求7所述的方法,其中所述电介质膜是氮化硅、氮氧化硅或碳氮化硅膜。
13.一种退火系统,包含:
腔室主体,界定腔室;
支撑件,用以利用暴露于所述腔室中的环境的工件的外表面保持工件;
机器人,用以将所述工件插入所述腔室中;
气源,用以提供胺气;
压力源,耦接至所述腔室以将所述腔室中的压力升高至至少5个大气压;
控制器,耦接至所述机器人、所述气源和所述压力源,所述控制器被配置以致使机器人将所述工件运输至所述腔室中,使得所述气源供应所述胺气至所述腔室,并且以致使当所述工件保持在所述腔室中的所述支撑件上时将所述腔室中的压力升高至至少5个大气压;和
加热器,用以将所述支撑件上的所述工件的温度升高至200℃至500℃之间。
CN201880034510.7A 2017-05-25 2018-05-24 氮化硅膜的高压处理 Active CN110678959B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/605,751 US10847360B2 (en) 2017-05-25 2017-05-25 High pressure treatment of silicon nitride film
US15/605,751 2017-05-25
PCT/US2018/034284 WO2018217967A1 (en) 2017-05-25 2018-05-24 High pressure treatment of silicon nitride film

Publications (2)

Publication Number Publication Date
CN110678959A CN110678959A (zh) 2020-01-10
CN110678959B true CN110678959B (zh) 2023-07-25

Family

ID=64395897

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880034510.7A Active CN110678959B (zh) 2017-05-25 2018-05-24 氮化硅膜的高压处理

Country Status (6)

Country Link
US (1) US10847360B2 (zh)
EP (1) EP3635769A4 (zh)
JP (1) JP6952799B2 (zh)
KR (1) KR102289791B1 (zh)
CN (1) CN110678959B (zh)
WO (1) WO2018217967A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226330A (ja) * 1992-01-27 1993-09-03 Nec Corp 容量絶縁膜の形成方法
US6063713A (en) * 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
EP1047117A2 (en) * 1999-04-21 2000-10-25 Oki Electric Industry Co., Ltd. Method for manufacturing a silicon nitride capacitor dielectric film
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
CN1656604A (zh) * 2002-06-12 2005-08-17 应用材料公司 用于改善等离子氮化栅极电介质层中氮分布的方法
CN101385131A (zh) * 2006-02-10 2009-03-11 株式会社豊山Microtec 用于高压气体退火的方法及设备
CN102194755A (zh) * 2010-03-01 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制造方法
KR20150086833A (ko) * 2014-01-20 2015-07-29 주식회사 풍산 반도체 기판 처리장치
CN105556643A (zh) * 2013-09-17 2016-05-04 应用材料公司 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法

Family Cites Families (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JPH1012816A (ja) * 1996-06-19 1998-01-16 Sony Corp 容量素子の製造方法
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
JP4895803B2 (ja) 2003-02-04 2012-03-14 アプライド マテリアルズ インコーポレイテッド 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
WO2007043383A1 (ja) 2005-10-07 2007-04-19 Nikon Corporation 微小構造体およびその製造方法
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) * 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP5051180B2 (ja) * 2009-05-26 2012-10-17 東京エレクトロン株式会社 成膜方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR101995704B1 (ko) 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
CN103999198B (zh) 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
SG11201600447YA (en) 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9818603B2 (en) * 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
KR102079501B1 (ko) 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US9543141B2 (en) * 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
JP6585724B2 (ja) 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
CN108140555B (zh) 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226330A (ja) * 1992-01-27 1993-09-03 Nec Corp 容量絶縁膜の形成方法
US6063713A (en) * 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
EP1047117A2 (en) * 1999-04-21 2000-10-25 Oki Electric Industry Co., Ltd. Method for manufacturing a silicon nitride capacitor dielectric film
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
CN1656604A (zh) * 2002-06-12 2005-08-17 应用材料公司 用于改善等离子氮化栅极电介质层中氮分布的方法
CN101385131A (zh) * 2006-02-10 2009-03-11 株式会社豊山Microtec 用于高压气体退火的方法及设备
CN102194755A (zh) * 2010-03-01 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制造方法
CN105556643A (zh) * 2013-09-17 2016-05-04 应用材料公司 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
KR20150086833A (ko) * 2014-01-20 2015-07-29 주식회사 풍산 반도체 기판 처리장치

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
GaAs衬底上氮化硅钝化层的低温制备工艺研究;吴涛等;《激光与光电子学进展》;20150310;第52卷(第03期);031403-1到031403-7 *

Also Published As

Publication number Publication date
US20180342384A1 (en) 2018-11-29
EP3635769A4 (en) 2021-03-03
KR102289791B1 (ko) 2021-08-17
US10847360B2 (en) 2020-11-24
JP6952799B2 (ja) 2021-10-20
CN110678959A (zh) 2020-01-10
KR20200003242A (ko) 2020-01-08
EP3635769A1 (en) 2020-04-15
WO2018217967A1 (en) 2018-11-29
JP2020522133A (ja) 2020-07-27

Similar Documents

Publication Publication Date Title
CN110678959B (zh) 氮化硅膜的高压处理
CN110692121B (zh) 通过高压处理的钨脱氟
KR102310823B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들
TWI440089B (zh) 基板處理方法及基板處理裝置
JP7433457B2 (ja) 流動性間隙充填膜のためのマルチステッププロセス
TW202416418A (zh) 用於可流動間隙填充膜的多步驟處理

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant