KR20200003242A - 질화규소 막의 고압 처리 - Google Patents

질화규소 막의 고압 처리 Download PDF

Info

Publication number
KR20200003242A
KR20200003242A KR1020197038172A KR20197038172A KR20200003242A KR 20200003242 A KR20200003242 A KR 20200003242A KR 1020197038172 A KR1020197038172 A KR 1020197038172A KR 20197038172 A KR20197038172 A KR 20197038172A KR 20200003242 A KR20200003242 A KR 20200003242A
Authority
KR
South Korea
Prior art keywords
chamber
gas
high pressure
work piece
pressure
Prior art date
Application number
KR1020197038172A
Other languages
English (en)
Other versions
KR102289791B1 (ko
Inventor
케이쓰 타체운 웡
션 에스. 강
스리니바스 디. 네마니
엘리 와이. 이에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200003242A publication Critical patent/KR20200003242A/ko
Application granted granted Critical
Publication of KR102289791B1 publication Critical patent/KR102289791B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

작업부재 상의 질화규소 막을 처리하는 공정들과 관련된 방법들 및 시스템들은, 챔버 내에 작업부재를 지지하는 것, 챔버 내로 아민 가스를 도입하는 것, 적어도 5 기압의 압력을 설정하는 것, 및 챔버 내의 압력이 적어도 5 기압인 동안 작업부재 상의 질화규소 막을 아민 가스에 노출시키는 것을 포함한다.

Description

질화규소 막의 고압 처리
본 발명은 반도체 웨이퍼와 같은 작업부재 상의 질화규소 층의 고압 처리에 관한 것이다.
마이크로전자 회로들 및 다른 마이크로규모 디바이스들은 일반적으로 기판 또는 웨이퍼, 이를테면, 규소 또는 다른 반도체 물질 웨이퍼 상에서의 다수의 층들의 순차적 증착 및 패터닝에 의해 제조된다. 일부 응용들의 경우, 식각 정지 층, 마스킹 층, 또는 게이트 스페이서 층을 형성하기 위해, 절연 막, 예컨대 질화규소가 기판 상에 증착된다.
일부 층들의 경우, 원하는 물질 특성들을 달성하기 위해, 기판은 전형적으로 어닐링 공정을 거치게 되며, 어닐링 공정에서, 기판은 일반적으로 약 200 - 500 ℃로, 그리고 더 전형적으로는 약 300 - 400 ℃로 신속하게 가열된다. 기판은 비교적 짧은 시간, 예컨대 60 - 300 초 동안 이러한 온도들에서 유지될 수 있다. 그런 다음, 기판이 급속하게 냉각되는데, 전체 공정은 일반적으로 수 분만을 소요한다. 어닐링은 기판 상의 층들의 물질 특성들을 변화시키는 데 사용될 수 있다. 어닐링은 또한, 도펀트들을 활성화시키거나, 기판 상의 막들 사이로 도펀트들을 유도하거나, 막 간 또는 막과 기판 간 계면들을 변화시키거나, 증착된 막들을 치밀화하거나, 또는 이온 주입으로부터의 손상을 복구하는 데 사용될 수 있다.
일 양상에서, 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 것은, 규소-질화물 결합들을 포함하는 유전체 막을 갖는 작업부재를 챔버 내에 지지하는 것, 챔버 내로 아민 가스를 도입하는 것, 챔버 내에 적어도 5 기압의 압력을 설정하는 것, 및 챔버 내의 압력이 적어도 5 기압인 동안 규소-질화물을 포함하는 유전체 막을 아민 가스에 노출시키는 것을 포함한다.
이러한 양상의 다른 실시예들은, 컴퓨터 저장 디바이스들 상에 인코딩된, 방법들의 동작들을 수행하도록 구성되는 대응하는 시스템들, 장치, 및 컴퓨터 프로그램들을 포함한다.
이들 및 다른 실시예들은 각각, 다음의 특징들 중 하나 이상을 임의적으로 포함할 수 있다.
유전체 막의 온도는 200 - 500 ℃로 상승될 수 있다. 질화규소 막의 온도는, 챔버 내의 작업부재에 대한 지지부를 상승된 온도로 유지함으로써 상승될 수 있다. 유전체 막의 온도는, 적어도 5 기압의 챔버 내의 압력을 설정하기 전에 상승될 수 있다.
챔버 내의 압력을 설정하는 것은, 챔버 내에 아민 가스를 도입하는 것을 포함할 수 있다. 일부 구현들에서, 아민 가스는 암모니아 가스를 포함한다. 아민 가스는, 메틸아민 가스 및/또는 디메틸아민 가스를 포함할 수 있다. 일부 구현들에서, 유전체 막은 적어도 5 분 동안 그리고 1 시간 이하로 아민 가스에 노출된다.
유전체 막은 제조 시 핀 전계-효과 트랜지스터(FinFET)의 일부분일 수 있다.
다른 양상에서, 작업부재 상에 유전체 물질을 형성하는 방법은, 유동성 화학 기상 증착(FCVD)에 의해, 규소-질화물 결합들을 포함하는 유전체 막을 작업부재 상에 증착하는 단계, 및 챔버 내의 압력이 적어도 5 기압인 동안 규소-질화물 결합들을 포함하는 유전체 막을 챔버 내의 아민 가스에 노출시키는 단계를 포함한다. 일부 구현들에서, 작업부재 상의 유전체 막의 증착은 380 ℃ 미만의 온도에서 이루어진다.
다른 양상에서, 어닐링 시스템은, 챔버를 정의하는 챔버 몸체, 작업부재의 외측 표면이 챔버 내의 환경에 노출되게 작업부재를 유지하기 위한 지지부, 챔버 내에 작업부재를 삽입하기 위한 로봇, 챔버에 아민 가스를 제공하기 위한 가스 공급부, 챔버 내의 압력을 적어도 5 기압으로 상승시키기 위해 챔버에 결합되는 압력 소스, 및 로봇, 가스 공급부, 및 압력 소스에 결합되는 제어기를 포함한다. 제어기는, 로봇으로 하여금, 상부에 유전체 막을 갖는 작업부재를 챔버 내로 운반하게 하고, 가스 공급부로 하여금, 아민 가스를 챔버에 공급하게 하고, 압력 소스로 하여금, 작업부재가 챔버 내의 지지부 상에 유지되는 동안 챔버 내의 압력을 적어도 5 기압으로 상승시키게 하도록 구성된다.
어닐링 시스템은, 지지부 상의 작업부재의 온도를 250 - 500 ℃로 상승시키기 위한 가열기를 포함할 수 있다. 가열기는, 지지부에 매립된 저항성 가열기를 포함할 수 있고/거나 가열기는, 지지부 상의 작업부재를 조사(irradiate)하도록 위치되는, 챔버 몸체의 벽에 있는 방사성 가열기일 수 있다. 압력 소스는 펌프를 포함할 수 있다.
본 명세서에 설명된 주제의 특정 실시예들은, 다음의 이점들 중 하나 이상을 실현하도록 구현될 수 있다. 질화규소 막의 증착 후 어닐링은, 예컨대, 질화규소 막에서 Si-N-Si 그물구조(network)를 강화하고 불순물들(예컨대, 산소 및 탄소)을 감소시킴으로써 막 품질을 개선할 수 있다. 고압 아민 가스를 사용하는 것은, 질화규소 층으로의 가스의 확산을 개선하고, 작업부재의 사후 처리에 대해 비교적 낮은 열 비용을 유지하고, 전체 층 구조 품질을 보존함으로써, 어닐링 공정 동안 더 낮은 온도들이 사용되는 것을 허용한다. 더욱이, 비교적 낮은 열 비용은, 작업부재 상의 다른 기존 피쳐들에 대한 온도 관련 영향들을 감소시킨다(예컨대, 도펀트 확산이 감소됨). 부가적으로, 더 낮은 온도들이 질화규소 막을 증착하는 데 사용될 수 있으며, 그에 의해, 인접한 층들(예컨대, 텅스텐 막)과의 질화규소 층의 상호혼합이 감소된다. 고압 가스를 사용하는 것은 또한, 특정 응용들, 예컨대 FCVD 질화규소 갭 충전 응용들에서 물리적 영향을 줄 수 있어서, 고압은, 질화규소 막의 리플로우(reflow)에 영향을 미침으로써, 질화규소 막에서 개선된 공극 없는 갭 충전을 달성할 수 있다.
본 발명의 하나 이상의 실시예의 세부사항들은, 첨부된 도면들 및 아래의 설명에서 기재된다. 본 발명의 다른 특징들, 목적들 및 이점들은, 설명 및 도면들로부터, 그리고 청구항들로부터 명백할 것이다.
도 1은 고압 기판 처리 시스템의 블록도이다.
도 2는, 고압 기판 처리 시스템에서 고압 처리에 의해 질화규소 막을 어닐링하는 것에 대한 예시적인 공정 흐름의 흐름도이다.
도 3은 예시적인 고압 기판 처리 시스템을 도시한다.
도 4는 고압 기판 처리 시스템의 다른 예를 도시한다.
다양한 도면들에서 동일한 참조 기호들은 동일한 요소들을 표시한다.
일반적으로, 작업부재 상에 증착된 층들, 예컨대, 반도체 웨이퍼 상의 증착된 질화규소 막의 막 품질을 개선하는 것이 바람직하다. 예컨대, 반도체 웨이퍼 상에 증착된 질화규소 막은, 핀 전계-효과 트랜지스터(FinFET)의 제조를 위한 패터닝 공정에서 사용될 수 있다. 불량한 막 품질은 다양한 방식들로 발생할 수 있는데, 임의의 특정 이론에 제한됨이 없이, 불량한 막 품질은 증착 공정 동안의 불순물들에 기인할 수 있다. 예컨대, 질화규소 막을 증착하기 위해 특정 증착 화학물질들을 사용하는 것은, Si-H 및 N-H 결합으로 인해 질화규소 막에서 결함들을 초래할 수 있다. 일부 구현들에서, 결함들은, Si-C 및 C-N 결합들로 인해 질화규소 막에서 발생한다. 부가적으로, 질화규소 막이 공기에 노출된 후, 일부 결함들은 Si-O 결합들로 전환될 수 있다. 증착된 질화규소 막들에 물리적 핀홀들 및/또는 공극들이 존재할 수 있으며, 이는 불량한 막 품질을 야기한다.
질화규소 막에서의 불량한 막 품질은 또한, 질화규소 층의 증착 공정 동안 Si-N-Si 그물구조의 불완전한 형성에 기인할 수 있다. 예컨대, 질화규소 막을 증착하는 데 사용되는 고온들은, 주변 층들(예컨대, 텅스텐)과 질화규소 막의 상호혼합을 초래한다. 질화규소 막과 인접한 층들(예컨대, 텅스텐)의 상호혼합을 완화시키기 위해, 질화규소 막을 증착하는 데 더 낮은 증착 온도들이 사용되며, 이는 더 낮은 막 품질을 초래한다.
고압 어닐링을 사용하여 질화규소 막 품질을 개선하기 위한 고압 처리를 위한 시스템들 및 방법들이 아래에 설명된다. 작업부재 상에 증착된 질화규소 막은, 상승된 온도들(예컨대, 200 - 500 ℃)에서 수 분 내지 한 시간 동안 유지되는 동안 고압(예컨대, 적어도 5 기압)의 아민 가스(예컨대, 암모니아 가스)에 노출된다. 또한 임의의 특정 이론에 제한됨이 없이, 본원에서 설명된 고압 처리는, 댕글링 Si 및 N 결합을 감소시키는 것뿐만 아니라 질화규소 층의 증착 공정에 기인한 오염(예컨대, Si-C 결합)을 감소시키는 데 있어 효과적일 수 있다. 고압 처리는 또한, Si-H 결합들 및 Si-O 결합들을 Si-N 결합들로 전환하는 데 있어 효과적일 수 있고, 또한, N-H 결합들을 끊는 데 있어서도 효과적일 수 있다. 이는, 질화규소 층의 불균등한 식각률 및/또는 높은 식각률과 같은 유해한 효과들을 감소시키고 FinFET 디바이스들에서 질화규소 게이트 스페이서들에서의 누설을 감소시킬 수 있다.
시스템
도 1은 고압 기판 처리 시스템(100)의 블록도이다. 고압 기판 처리 시스템(100)은 고압 챔버(102)를 포함한다.
고압 챔버(102)는, 적어도 5 기압, 예컨대 적어도 10 기압의 압력들을 갖도록 구성되며, 진공 하에 있을 때 최대 10^-3 토르의 진공 수준들을 유지하는 것이 가능할 수 있다. 일부 구현들에서, 고압 기판 처리 시스템(100)은, 작업부재가 처리 챔버들 사이에서(예컨대, 다른 처리 챔버로부터 고압 챔버(102) 내로) 이송될 때를 위해, 저압 환경, 예컨대 진공 챔버(104)를 포함한다. 고압 챔버(102)와 저압(예컨대, 진공) 챔버(104) 내의 상대적인 압력들은 서로 독립적으로 제어될 수 있다.
고압 챔버(102) 안팎으로, 예컨대, 다중 챔버 기판 처리 툴의 챔버들 사이에서 작업부재를 이송하기 위해 로봇식 암을 포함하는 로봇(도 1에 도시되지 않음)이 사용될 수 있다.
고압 챔버(102)는, 고압 챔버(102)에서 작업부재를 지지하기 위한 지지부, 예컨대 페디스털(106)을 포함한다. 페디스털(106)은, 다양한 지지 메커니즘들을 사용하여 하나 이상의 작업부재를 지지하는데, 예컨대, 페디스털(106)이 잠금 핀들 및 스프링들로 작업부재를 지지할 수 있고/거나 작업부재가 페디스털(106)의 최상부 상에 직접 놓일 수 있다.
일부 구현들에서, 고압 챔버(102)는 하나 이상의 가열 요소(108)를 포함한다. 예컨대, 가열 요소(108a)는 저항성 가열기이고, 작업부재를 가열하기 위해 페디스털(106) 내에 통합된다. 일부 구현들에서, 고압 챔버(102)는 가열 요소(108b)를 포함하며, 가열 요소(108b)는 고압 챔버(102) 내에서 선택된 온도로 가열하여 그 온도를 유지할 수 있다. 가열 요소(108b)는, 고압 챔버 몸체의 벽에 매립되고 페디스털(106) 상의 작업부재를 조사하도록 위치되는 방사성 가열기일 수 있다. 가열 요소들(108)로부터의 열은, 작업부재가 페디스털(106) 상에 지지되고 (사용되는 경우) 가스가 고압 챔버(102) 내로 도입되었을 때 작업부재를 어닐링하기에 충분할 수 있다. 가열 요소들(108)은 저항성 가열 요소들일 수 있고, 작업부재를 전도성으로 그리고/또는 방사성으로 가열할 수 있다. 부가적으로, 가열 요소들(108)은 이산 가열 코일 또는 방사성 가열기(예컨대, 적외선 램프)를 포함할 수 있다.
가스 전달 시스템(110)은 고압 챔버(102)를 가압 및 감압하도록 동작가능하다. 가스 전달 시스템(110)은, 가스 혼합물을 고압 챔버(102)에 제공하여 고압, 예컨대 적어도 5 기압의 압력을 설정한다. 일부 구현들에서, 가스 전달 시스템(110)은, 고압 챔버(102)로부터 가스를 배기함으로써 고압 챔버(102)를 감압시키기 위한 배기 시스템(112)을 포함한다. 가스 전달 시스템은 챔버(102) 내의 압력을 고압으로 상승시키기 위한 압력 소스를 포함한다. 압력 소스는, 원하는 압력에 도달할 때까지 챔버(102) 내로 가스를 펌핑하도록 구성되는 펌프, 예컨대, 회전식 펌프, 스크롤 펌프, 또는 나사 펌프를 포함하고/거나 가스 실린더가 유체공학적으로 챔버(102)에 연결된 후에 등화된 압력이 원하는 압력에 도달할 충분한 압력으로의 압축된 가스 실린더를 포함할 수 있다.
펌핑 시스템(114)은, 고압 챔버(102) 및/또는 진공 챔버(104) 내의 압력들을 감소시키기 위한 하나 이상의 펌프를 포함한다. 펌프들은, 하나 이상의 회전식 펌프, 스크롤 펌프, 및 나사 펌프를 포함할 수 있다. 예컨대, 펌핑 시스템(114)은, 진공 챔버(104) 내의 압력을, 진공 또는 거의 진공의 압력, 예컨대 1 밀리토르 미만이도록 낮추는 데 사용될 수 있다. 다른 예에서, 펌핑 시스템(114)은, 공정 동작 전에 고압 챔버(102) 내의 오염물들의 존재를 감소시키기 위해, 고압 챔버(102)에서 펌프 및 퍼지 사이클 동안 사용될 수 있다.
일부 구현들에서, 밸브 조립체(116)는, 고압 챔버(102)와 진공 챔버(104) 사이의 상대적인 압력들을 격리시킨다. 따라서, 고압 챔버(102) 내의 고압 환경은 진공 챔버(104) 내의 저압 환경으로부터 분리 및 밀봉될 수 있다. 밸브 조립체(116)는, 작업부재가 고압 챔버(102)와 진공 챔버(104) 사이에서 직접 이송될 수 있게 하도록 동작가능하다.
일부 구현들에서, 고압 기판 처리 시스템(100)은, 진공 챔버(104)에 연결되고 외부 환경에 연결되는 포어라인(118)을 포함한다. 격리 밸브(120)는, 진공 챔버(104) 내의 압력을 외부 환경의 압력으로부터 격리시키도록 포어라인(118)을 따라 배열된다. 격리 밸브(120)는, 진공 챔버(104) 내의 압력을 조정하고 진공 챔버(104) 내의 가스들을 방출하도록 동작될 수 있다. 격리 밸브(120)는, 펌핑 시스템(114)과 함께 동작되어 진공 챔버(104) 내의 압력을 조절할 수 있다.
고압 기판 처리 시스템(100)의 하나 이상의 동작은 하나 이상의 제어기(122)에 의해 제어될 수 있다. 제어기(122), 예컨대 범용 프로그래밍가능 컴퓨터는, 고압 기판 처리 시스템(100)의 다양한 구성요소들 중 일부 또는 그 전부에 연결되고 그를 제어하도록 동작가능하다. 제어기(122)에 의해 제어되는 동작들은, 예컨대, 고압 챔버(102) 내의 가열 요소들(108)의 온도 조절, 고압 챔버(102) 내의 압력 조절, 진공 챔버(104) 내의 진공 조절, 가스 전달 시스템(110)에 의한 유량들 및 가스 전달, 및 펌핑 시스템(114)의 하나 이상의 펌프의 동작을 포함할 수 있다. 예컨대, 제어기(122)는, 고압 기판 처리 시스템(100)의 구성요소들로 하여금 도 2를 참조하여 아래에 설명되는 공정을 수행하게 하는 제어 신호들을 생성하도록 프로그래밍될 수 있다.
질화규소 막의 고압 처리
도 2는, 고압 기판 처리 시스템(100)에서의 작업부재 상의 질화규소 막의 고압 어닐링에 대한 예시적인 공정 흐름(200)의 흐름도이다. 일 예에서, 작업부재는, 기판 상에 질화규소 막이 증착된 반도체 기판(예컨대, 규소)을 포함한다. 일부 구현들에서, 질화규소 막은 기판 상에 제조되는 핀 전계-효과 트랜지스터(FinFET)의 일부를 형성하며, 작업부재는 또한 다른 물질들(예컨대, TiN, 텅스텐)의 층들을 포함할 수 있다. 질화규소 막은, 별개의 처리 단계에서 유동성 화학 기상 증착(FCVD)을 사용하여 작업부재 상에 증착될 수 있다. 일부 구현들에서, 플라즈마 식각 화학 기상 증착 (PECVD), 저압 화학 기상 증착(LPCVD), 및/또는 원자 층 증착(ALD)이 활용되어 질화규소 막을 증착할 수 있다.
작업부재는, 예컨대 로봇에 의해 챔버 내에 삽입되고, 그런 다음, 예컨대, 고압 챔버(102) 내의 페디스털(106) 상에서 챔버 내에 지지된다(202). 일부 구현들에서, 고압 챔버(102) 및/또는 페디스털(106)은, 하나 이상의 가열 요소(108)를 사용하여 특정 온도(예컨대, 200 - 500 ℃)에서 유지된다. 고압 챔버(102) 및/또는 페디스털(106)의 온도는, 고압 챔버(102) 내에 작업부재를 도입하기 전에 설정될 수 있다. 또한, 작업부재(예컨대, 기판 상의 질화규소 막)의 온도는, 작업부재가 페디스털(106)에 의해 고압 챔버(102) 내에 지지되는 동안 하나 이상의 가열 요소(108)의 사용을 통해 특정 온도(예컨대, 200 - 600 ℃)로 설정될 수 있다. 일부 구현들에서, 작업부재(예컨대, 기판 상의 질화규소 막)의 온도는, 적어도 5 기압의 고압 챔버(102) 내의 압력을 설정하기 전에 상승된다.
아민 가스가 고압 챔버(102) 내로 도입된다(204). 아민 가스는 암모니아 가스 또는 다른 작고 반응성인 아민 가스(예컨대, 메틸아민 가스 또는 디메틸아민 가스)일 수 있다. 일부 구현들에서, 다수의 상이한 아민 가스들(예컨대, 암모니아 가스 및 메틸아민 가스)이 가스 전달 시스템(110)에 의해 고압 챔버(102) 내로 전달되기 전에 가스 혼합물로 혼합될 수 있거나, 다수의 상이한 아민 가스들(예컨대, 암모니아가스 및 메틸아민 가스)이 가스 전달 시스템(110)의 별개의 노즐들에 의해 고압 챔버(102) 내로 전달되어 고압 챔버(102)에서 혼합될 수 있다. 일부 구현들에서, 아민 가스는, 예컨대, 가스 혼합물의 가연성을 감소시키기 위해, 가스 전달 시스템(110)에 의해 고압 챔버(102) 내로 전달되기 전에 불활성 가스(예컨대, 질소, 아르곤, 또는 헬륨)와 혼합될 수 있다.
가스 전달 시스템(110)은, 고압 챔버(102) 내에 5 내지 50 기압의 전압력을 설정할 수 있다(206). 일부 구현들에서, 고압 챔버 내의 전압력은 적어도 10 기압이다. 고압 챔버(102) 내의 아민 가스의 전압력은 챔버 내의 정압력으로서 설정될 수 있거나, 어닐링 공정 동안의 챔버 안팎으로의 아민 가스의 유동을 통해 설정될 수 있다. 5 내지 50 기압의 전압력이 아민 가스에 의해 제공될 수 있다. 예컨대, 고압 챔버 내로 도입되는 가스는 아민 가스로 이루어질 수 있는데, 즉, 아민 가스만이 고압 챔버 내로 도입된다.
고압 챔버(102) 내에 원하는 압력이 설정된 후에, 작업부재 상의 질화규소 막은, 고압 챔버(102)가 상승된 압력에서 유지되는 동안 아민 가스에 노출된다(208). 노출 시간은 수 분 내지 몇 시간(예컨대, 적어도 5 분, 및 1 시간 이하)을 포함한다. 일부 구현들에서, 어닐링 온도(예컨대, 어닐링 공정 동안의 작업부재의 온도), 고압 챔버(102) 내의 아민 가스 압력, 및 고압 어닐링 공정 동안의 노출 시간들은, 전술된(및 다른) 변수들을 조정함으로써 최적 동작 파라미터들이 발견될 수 있도록 상호관련될 수 있다.
임의의 특정 이론으로 제한됨이 없이, 고압 아민 가스 처리는, Si-H 결합들 및 Si-O 결합들을 Si-N 결합들로 전환하는데 있어 효과적일 수 있고, 또한, 질화규소 막에서 Si-N 결합들의 형성을 가능하게 할 N-H 결합들을 끊는 데 있어 효과적일 수 있다.
일부 구현들에서, 작업부재의 가열 공정 전에 또는 그 동안에, 아민 가스가 가스 전달 시스템에 의해 고압 챔버(102) 내로 도입된다. 예컨대, 고압의 아민 가스(예컨대, 암모니아 가스)는, 가열 요소들(108)이 페디스털(106) 상의 작업부재를 특정 원하는 온도에 이르게 하는 동안 고압 챔버(102) 내로 도입될 수 있다.
일부 구현들에서, 작업부재는, 작업부재가 진공 챔버(104) 내에 있는 동안 특정 온도로 가열된 다음, 후속하여, 아민 가스(예컨대, 암모니아 가스)가 도입될 수 있는 고압 챔버(102)로 로봇(도시되지 않음)에 의해 이송될 수 있다.
일부 구현들에서, 질화규소 막이 작업부재 상에 증착되며, 질화규소 막은 이어서, 본원에 설명된 고압 처리를 겪을 수 있다. 예컨대, 질화규소 막은, 예를 들어, 트리실릴아민/실란/암모니아의 가스 조성물을 사용하여 유동성 화학 기상 증착(FCVD)에 의해 작업부재 상에 증착될 수 있다. 질화규소 막에 대한 더 낮은(예컨대, 380 ℃ 미만의) 증착 온도로 인해, 더 낮은 막 품질이 초래될 수 있다. 이어서, 질화규소 막은, 고압 챔버(102) 내의 압력이 적어도 5 기압인 동안 고압 챔버(102) 내의 아민 가스에 노출될 수 있다. 일부 구현들에서, 질화규소 막이 식각 하드 마스크로서 사용되어, 작업부재 상의 질화규소 층의 고압 처리 이전 및/또는 이후에, 질화규소 층에서 트렌치들을 식각하기 위한 공정이 (예컨대, SF6/CH4/N2/O2 플라즈마와 같은 플라즈마 가스 조성물을 사용하여) 질화규소 층에 대해 수행될 수 있다.
고압 기판 처리 시스템들의 실시예들
도 3 및 도 4는 고압 기판 처리 시스템들의 2개의 실시예를 도시한다. 도 3은, 제1 챔버(302)(예컨대, 고압 챔버(102)), 페디스털(304), 제2 챔버(306)(예컨대, 진공 챔버(104)), 및 제어기(예컨대, 제어기(122))를 포함하는 예시적인 고압 기판 처리 시스템(300)을 도시한다. 고압 기판 처리 시스템(300)은, 펌핑 시스템(114)과 유사한 펌핑 시스템(도시되지 않음), 및 도 1과 관련하여 설명된 가스 전달 시스템(110)과 유사한 가스 전달 시스템(307)을 더 포함한다. 예컨대, 가스 전달 시스템(307)은 입력 라인(307a) 및 배기 라인(307b)을 포함한다. 아민 가스는 입력 라인(307a)을 통해 제1 챔버(302) 내로 도입되고, 아민 가스는 배기 라인(307b)을 통해 제1 챔버(302)로부터 배기된다.
페디스털(304)은, 상부의 물질 막(예컨대, 질화규소 막)이 고압 처리를 통해 처리될 작업부재(즉, 기판)(314)를 지지한다. 페디스털(304)은 제1 챔버(302) 내에 위치되거나 위치가능하다. 일부 구현들에서, 기판(314)은 페디스털의 평평한 최상부 표면 상에 직접 놓인다. 일부 구현들에서, 기판(314)은 페디스털로부터 돌출된 핀들(330) 상에 놓인다.
고압 기판 처리 시스템(300)은 내측 벽(320), 베이스(322), 및 외측 벽(324)을 포함한다. 제1 챔버(302)는 내측 벽(320) 내의, 예컨대 내측 벽(320)과 베이스(322) 사이의 용적에 의해 제공된다. 제2 챔버(306)는 내측 벽(320) 외부의, 예컨대 내측 벽(320)과 외측 벽(324) 사이의 용적에 의해 제공된다.
고압 기판 처리 시스템(300)은, 도 1의 밸브 조립체(116)의 기능성을 제공하는, 즉, 제1 챔버(302)를 제2 챔버(306)로부터 격리시키도록 동작될 수 있는, 제1 챔버(302)와 제2 챔버(306) 사이의 밸브 조립체(316)를 더 포함한다. 예컨대, 밸브 조립체(316)는, 내측 벽(320), 베이스(322), 및 내측 벽(320)에 대해 베이스(322)를 이동시키기 위한 액추에이터(323)를 포함한다. 액추에이터(323)는, 베이스(322)가 수직으로, 예컨대, 제1 챔버(302)를 정의하는 벽들(320)을 향하여 또는 그로부터 멀어지도록 이동하게 구동하도록 제어될 수 있다. 베이스(322)가 수직으로 이동하는 것을 허용하면서 외부 대기로부터 제2 챔버(306)를 밀봉하기 위해 벨로우즈(328)가 사용될 수 있다. 벨로우즈(328)는 베이스(322)의 최하부로부터 외측 벽(324)에 의해 형성되는 제2 챔버(306)의 바닥까지 연장될 수 있다.
밸브 조립체(316)가 폐쇄 위치에 있을 때, 베이스(322)는, 베이스(322)와 벽들(320) 사이에 밀봉이 형성되도록 벽들(320)과 접촉하며, 그에 따라, 제2 챔버(306)가 제1 챔버(302)로부터 분리된다. 액추에이터(323)는, 밀봉을 형성하기에 충분한 힘으로 베이스(322)를 내측 벽들(320)을 향해 구동하도록 동작된다. 밀봉은, 제1 고압 챔버(302)로부터의 공기가 저압 제2 챔버(306) 내로 배기되는 것을 억제한다.
밸브 조립체(316)가 개방 위치에 있을 때, 베이스(322)는 벽들(320)로부터 이격되며, 그에 의해, 제1 및 제2 챔버들(302, 306) 사이에서 공기가 통할 수 있게 되며, 또한, 기판(314)이 다른 챔버로 접근 및 이송될 수 있게 된다.
페디스털(304)이 베이스(322) 상에 지지되기 때문에, 그에 따라, 페디스털(304)은 또한 내측 벽들(320)에 대해 이동가능하다. 페디스털(304)은, 기판(314)이 이송 로봇에 의해 더 용이하게 접근가능할 수 있게 하도록 이동될 수 있다. 예컨대, 이송 로봇(도시되지 않음)의 암은 외측 벽(324)의 애퍼쳐(326)를 통해 연장될 수 있다. 밸브 조립체(316)가 개방 위치에 있을 때, 로봇 암은 기판(314)에 접근하기 위해 내측 벽(320)과 베이스(322) 사이의 갭을 통과할 수 있다.
일부 구현들에서, 고압 기판 처리 시스템(300)은, 기판(314)에 열을 가하도록 구성되는 하나 이상의 가열 요소(318)를 포함한다. 가열 요소들(318)로부터의 열은, 기판(314)이 페디스털(304) 상에 지지되고, (사용되는 경우) 전구체 가스가 제1 챔버(302) 내로 도입되었을 때 기판(314)을 어닐링하기에 충분할 수 있다. 가열 요소들(318)은 저항성 가열 요소들일 수 있다. 하나 이상의 가열 요소(318)가, 제1 챔버(302)를 정의하는 내측 벽들(320)에 위치될 수 있는데, 예컨대, 매립될 수 있다. 이는 내측 벽(320)을 가열하여, 방사열이 기판(314)에 도달하게 한다. 기판(314)은, 내측 벽(320)으로부터 기판(314)으로의 열의 전달을 개선하기 위해, 내측 벽의 천장에 매우 근접하게 페디스털(304)에 의해 유지될 수 있다.
그러나, 하나 이상의 가열 요소(318)는 고압 기판 처리 시스템(300) 내의 다른 위치들에, 예컨대, 천장이 아니라 측벽들에 배열될 수 있다. 가열 요소(318)의 예는 이산 가열 코일을 포함한다. 내측 벽들(320)에 매립된 가열기 대신 또는 그에 부가하여, 방사성 가열기, 예컨대 적외선 램프가 제1 챔버(302) 외부에 위치될 수 있고, 내측 벽(320)의 창을 통해 적외선 방사를 지향시킬 수 있다. 전선들은 전기 소스(도시되지 않음), 이를테면 전압 소스를 가열 요소에 연결하며, 하나 이상의 가열 요소(318)를 제어기에 연결할 수 있다.
제어기는, 기판(314) 상의 물질 층의 고압 처리를 수행하도록 동작들을 제어하기 위해, 펌핑 시스템, 가스 전달 시스템(307), 및 밸브 조립체(316)에 동작가능하게 연결된다. 일부 구현들에서, 제어기는 또한 다른 시스템들에 동작가능하게 연결될 수 있다. 예컨대, 제어기는 또한, 이송 로봇들(도시되지 않음), 하나 이상의 가열 요소(318), 및/또는 액추에이터(323) 중 하나 이상에 동작가능하게 연결될 수 있다. 일부 경우들에서, 도 1에 도시된 제어기(122)는 고압 기판 처리 시스템(300)의 제어기를 포함한다.
기판(314) 상의 물질 층의 고압 처리를 수행하기 위한 공정에서, 제어기는, 제2 챔버(306)를 통한 기판(314)의 이송을 준비하기 위해, 제2 챔버(306)를 저압 상태, 예컨대, 제2 챔버(306)가 1 기압 미만의 압력을 갖는 상태로 감압하도록 펌핑 시스템을 동작시킬 수 있다. 저압 상태는 거의 진공의 상태, 예컨대, 1 밀리토르 미만의 압력일 수 있다. 기판(314)은, 기판(314)의 오염 및 산화가 억제될 수 있도록 제2 챔버(306)가 저압으로 있는 동안, 이송 로봇(도시되지 않음)에 의해 제2 챔버(306)를 통해 이동된다.
기판(314)은 처리를 위해 제1 챔버(302) 내로 이송된다. 제1 챔버(302) 내로 기판(314)을 이송하기 위해, 제어기는 밸브 조립체(316)를 동작시킬 수 있는데, 예컨대, 밸브 조립체(316)를 개방하여 개구를 제공할 수 있으며, 그 개구를 통해 기판(314)이 제1 챔버(302) 내로 이송될 수 있다. 제어기는, 기판(314)을 제1 챔버(302) 내로 운반하여 페디스털(304) 상에 기판(314)을 배치하도록 이송 로봇을 동작시킬 수 있다.
기판(314)이 제1 챔버(302) 내로 이송된 후에, 제어기는 개구를 폐쇄하도록, 예컨대, 밸브 조립체(316)를 폐쇄하도록 밸브 조립체(316)를 동작시킬 수 있으며, 그에 의해, 제1 및 제2 챔버들(302, 306)이 서로 격리된다. 밸브 조립체(316)가 폐쇄된 채로, 제1 챔버(302) 및 제2 챔버(306) 내의 압력들은 상이한 값들로 설정될 수 있다. 제어기는, 제1 챔버(302)를 가압하기 위해 제1 챔버(302) 내로 아민 가스를 도입하도록 가스 전달 시스템(307)을 동작시킬 수 있다.
아민 가스의 도입은, 제1 챔버(302) 내의 압력을 예컨대 5 기압 이상으로 증가시킬 수 있다.
제1 챔버(302) 내의 아민 가스 및 적절한 온도와 압력 조건들은, 물질의 고압 처리가, 예컨대, 도 2를 참조하여 설명된 바와 같이 발생하게 할 수 있다. 고압 처리 동안, 제어기는, 기판(314)에 열을 부가하여 기판(314) 상의 물질 층의 어닐링을 용이하게 하도록 하나 이상의 가열 요소(318)를 동작시킬 수 있다.
고압 처리가 완료될 때, 기판(314)은 이송 로봇을 사용하여 제1 챔버(302)로부터 이동될 수 있고, 필요한 경우, 기판(314)은 후속 공정 챔버로 또는 외부 환경으로 이송될 수 있다. 대안적으로, 기판(314)은 로드 록 챔버(도시되지 않음) 내로 이송된다. 제1 챔버(302) 밖으로의 기판(314)의 이송을 준비하기 위해, 제어기는, 밸브 조립체(316)가 개방되기 전에 제1 챔버(302)를 감압하도록 가스 전달 시스템(307)의 배기 시스템을 동작시킬 수 있다. 특히, 기판(314)이 제1 챔버(202) 밖으로 이송되기 전에, 제1 챔버(202) 내의 압력을 감소시키기 위해 전구체 가스가 제1 챔버(302)로부터 배기된다. 제1 챔버(302) 내의 압력은, 제1 챔버(302)와 제2 챔버(306) 간의 압력차가 최소화될 수 있도록 거의 진공의 압력으로 감소될 수 있다.
기판(314)이 제1 챔버(302) 밖으로 이송될 수 있게 하기 위해, 제어기는 밸브 조립체(316)를 개방할 수 있다. 개방된 밸브 조립체(316)는 개구를 제공하며, 그 개구를 통해 기판(314)이 이동되어 제2 챔버(306) 내로 이송된다. 특히, 개방된 밸브 조립체(316)는, 기판(314)이 제2 챔버(306) 내로, 예컨대, 제2 챔버(306)의 저압 환경 내로 직접 이송될 수 있게 한다.
도 4는, 제1 챔버(402)(예컨대, 고압 챔버(102)), 페디스털(404), 제2 챔버(406)(예컨대, 진공 챔버(104)), 및 도 1에 도시된 제어기(122)와 유사한 제어기를 포함하는 고압 기판 처리 시스템(400)의 다른 예를 도시한다. 고압 기판 처리 시스템(400)은 도 3과 관련하여 설명된 고압 기판 처리 시스템(300)과 유사하며, 달리 특정되지 않는 한, 다양한 옵션들 및 구현들이 또한 이러한 실시예에 적용가능하다.
예컨대, 고압 기판 처리 시스템(400)의 가스 전달 시스템 및 펌핑 시스템은, 고압 기판 처리 시스템(400)을 사용하여 처리되는 기판(414)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 동작된다. 제2 챔버(406)는 내측 벽들(420)과 외측 벽들(424) 사이의 용적에 의해 정의될 수 있다. 게다가, 기판(414)은 또한 제1 챔버(402) 내에서의 처리 동안 페디스털(404) 상에 지지가능하다. 또한, 기판은 페디스털(404) 상에 직접 놓일 수 있거나, 페디스털을 통해 연장되는 리프트 핀들(430) 상에 놓일 수 있다.
고압 기판 처리 시스템(400)은 몇몇 측면들에서 도 3의 고압 기판 처리 시스템(300)과 상이하다. 첫째, 제1 챔버(402)를 정의하는 내측 벽들(420)이 제1 챔버(402)를 정의하는 베이스(422)에 대해 이동가능하지 않다. 따라서, 페디스털(404)은 내측 벽들(420) 및 베이스(422)에 대해 고정된다. 일부 예들에서, 페디스털(404)은 제1 챔버(402)를 정의하는 베이스(422)에 고정된다.
도 3의 실시예의 하나 이상의 가열 요소(318)에 대한 경우에서와 같이 제1 챔버(402)의 내측 벽들(420)에 정렬되는 것이 아니라, 도 4에 도시된 실시예의 하나 이상의 가열 요소(418)는 페디스털(404) 내에 배열된다. 따라서, 기판(414)은 페디스털(404)과의 접촉을 통해 가열된다.
고압 기판 처리 시스템(400)은, 도 3의 밸브 조립체(316)와 유사하게, 제1 챔버(402)를 제2 챔버(406)로부터 격리시키는, 제1 챔버(402)와 제2 챔버(406) 사이의 밸브 조립체(416)를 더 포함한다. 그러나, 밸브 조립체(316)와 대조적으로, 밸브 조립체(416)는 제1 챔버(402)를 정의하는 벽들(420) 및 베이스(422)에 의해 형성되기 보다는, 제1 챔버(402)의 내측 벽들(420) 및 베이스(422)에 대해 이동가능한 암(425)에 의해 형성된다. 암(425)은 제1 챔버(402)의 내측 벽들(420) 및 베이스(422)에 대해 이동가능할 수 있다.
특히, 밸브 조립체(416)는 제1 챔버(402)와 제2 챔버(406) 사이에 슬릿 밸브(423)를 포함한다. 슬릿 밸브(423)는 슬릿(423a) 및 암(425)을 포함한다. 슬릿(423a)은 제1 챔버(402)의 내측 벽들(420) 중 하나를 통해 연장된다. 암(425)의 근단부(425a)는 제1 챔버(402) 외부에 위치되지만, 암(425)의 원단부(425b)는 제1 챔버(402) 내에 위치된다. 암(425)의 근단부(425a)는 제2 챔버(406) 내에 위치될 수 있고, 제2 챔버(406) 내에 위치된 액추에이터에 의해 구동될 수 있다. 대안적으로, 암(425)의 근단부(425a)는 제2 챔버(406) 외부에 위치되고, 그에 따라, 또한 제2 챔버(406) 외부에 위치되는 액추에이터(428)에 의해 구동된다.
암(425)은 슬릿(423a)을 통해 연장되고, 암(425)이 벽들(420)과 자신이 밀봉을 형성하는 위치로 이동될 수 있도록 벽들(420)에 대해 이동가능하다. 액추에이터(428)는 암(425)의 근단부(425a)에 결합되고, 벽들(420)에 대해 암(425)의 원단부(425b)를 구동한다. 암(425)은 또한 슬릿(423a)을 덮거나 덮지 않도록 수직으로 이동가능하다. 특히, 암(425)의 근단부(425a)는, 내측 벽(420)의 인접한 내측 표면과 실질적으로 평행하게 연장되는 플랜지이거나 이를 포함할 수 있다. 암(425)은 또한, 암(425)의 원단부(425b)가 내측 벽들(420)에 맞물리거나 맞물림해제될 수 있도록 측방향으로 이동가능하고 구동된다.
암(425)은 또한 외측 벽(424)의 애퍼쳐(예컨대, 슬릿)(426)를 통해 연장될 수 있다.
밸브 조립체(316)와 마찬가지로, 밸브 조립체(416)는 개방 위치와 폐쇄 위치 사이에서 이동가능하다. 밸브 조립체(416)가 폐쇄 위치에 있을 때, 암(425)의 원단부(425b)는 슬릿(426)을 덮고 내측 벽들(420) 중 하나와 접촉하며, 그에 의해, 제1 챔버(402)를 제2 챔버(406)로부터 격리시키기 위한 밀봉이 형성된다. 특히, 암(425)의 원단부(425b), 예컨대 플랜지는, 제1 챔버(402)를 정의하는 벽(420)의 내측 표면과 접촉한다.
밸브 조립체(416)가 개방 위치에 있을 때, 암(425)의 원단부(425b)는 내측 벽들(420), 예컨대 내측 벽들(420)의 내측 표면으로부터 측방향으로 이격된다. 게다가, 암(425)의 원단부(425b)는 슬릿(426)이 덮이지 않도록 수직으로 위치된다. 따라서, 슬릿(426)은, 제1 챔버(402)와 제2 챔버(406) 사이의 유체 연통을 가능하게 하고, 또한, 예컨대 위에 논의된 바와 같은 로봇에 의해 제1 챔버(402) 안팎으로 기판(414)이 이동될 수 있게 하는 개구를 제공한다.
제어기는, 제1 챔버(402) 안팎으로 기판(414)을 이송하고 기판(414) 상의 물질 층에 대해 고압 처리를 수행하기 위해, 고압 기판 처리 시스템(300)의 제어기와 관련하여 설명된 공정과 유사한 방식으로 고압 기판 처리 시스템(400)을 동작시킬 수 있다. 이러한 공정에서, 밸브 조립체(416)를 개방 및 폐쇄하기 위해, 제어기는 액추에이터(428)를 동작시켜 암(425)을 구동할 수 있다.
도 4에 도시된 구성의 이점은, 제1 챔버(402) 내의 압력이 암(425)의 원단부(425b)를 내측 벽(420)의 내측 표면에 맞닿게 힘을 가하는 것을 돕는다는 점이다. 결과적으로, 도 3에 도시된 구성과 대조적으로, 액추에이터는 덜 강력할 수 있다.
본원에서 설명된 시스템들의 제어기 및 다른 컴퓨팅 디바이스들은, 디지털 전자 회로로 또는 컴퓨터 소프트웨어, 펌웨어, 또는 하드웨어로 구현될 수 있다. 예컨대, 제어기는 컴퓨터 프로그램 제품에, 예컨대 비-일시적인 기계 판독가능 저장 매체에 저장되는 바와 같은 컴퓨터 프로그램을 실행하기 위한 프로세서를 포함할 수 있다. 그러한 컴퓨터 프로그램(프로그램, 소프트웨어, 소프트웨어 애플리케이션, 또는 코드로 또한 알려져 있음)은, 컴파일 또는 해석되는 언어들을 포함하는 임의의 형태의 프로그래밍 언어로 작성될 수 있고, 이는 독립형 프로그램 또는 모듈, 컴포넌트, 서브루틴 또는 컴퓨팅 환경에서 사용하기에 적합한 다른 유닛을 포함하는 임의의 형태로 배포될 수 있다.
본 문서가 많은 특정한 구현 세부사항들을 포함하지만, 이들은 임의의 발명들 또는 청구될 수도 있는 것의 범위에 대한 제한들로서 해석되어서는 안되며, 오히려, 특정 발명들의 특정 실시예들에 특정한 특징들의 설명들로서 해석되어야 한다. 별개의 실시예들의 맥락에서 본 문서에 설명되는 특정 특징들은 또한, 단일 실시예로 조합되어 구현될 수 있다. 역으로, 단일 실시예의 맥락에서 설명되는 다양한 특징들은 또한, 다수의 실시예들에서 별개로, 또는 임의의 적합한 하위 조합으로 구현될 수 있다. 더욱이, 특징들이 특정 조합들로 작용하는 것으로 위에서 설명되고 심지어 처음에 이와 같이 청구될 수 있지만, 일부 경우들에서, 청구되는 조합으로부터의 하나 이상의 특징이 그 조합으로부터 제거될 수 있고, 청구된 조합은 하위 조합 또는 하위 조합의 변형과 관련될 수 있다.
위의 논의가 질화규소에 초점을 두지만, 규소-질화물 결합들, 예컨대 산질화규소(SiON) 또는 탄질화규소(SiCN)를 포함하는 다른 유전체 막들이 예컨대 저온 또는 FCVD 공정에 의해 증착되어 본원에 설명된 고압 처리를 사용하여 처리될 수 있다.
따라서, 다른 실시예들은 다음의 청구항들의 범위 내에 있다.

Claims (15)

  1. 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 방법으로서,
    규소-질화물 결합들을 포함하는 상기 유전체 막을 갖는 상기 작업부재를 챔버 내에 지지하는 단계;
    상기 챔버 내로 아민 가스를 도입하는 단계;
    상기 챔버 내에 적어도 5 기압의 압력을 설정하는 단계; 및
    상기 챔버 내의 압력이 적어도 5 기압인 동안 상기 작업부재 상의 상기 유전체 막을 상기 아민 가스에 노출시키는 단계를 포함하는, 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 방법.
  2. 제1항에 있어서,
    상기 유전체 막의 온도는, 적어도 5 기압의 상기 챔버 내의 압력을 설정하기 전에 상승되는, 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 방법.
  3. 제1항에 있어서,
    상기 아민 가스는, 암모니아 가스, 메틸아민 가스, 및 디메틸아민 가스 중 적어도 하나를 포함하는, 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 방법.
  4. 제1항에 있어서,
    상기 유전체 막은 제조 시 핀 전계-효과 트랜지스터의 일부분인, 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 방법.
  5. 제1항에 있어서,
    상기 유전체 막을 적어도 5 분 동안 상기 아민 가스에 노출시키는 단계를 포함하는, 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 방법.
  6. 제1항에 있어서,
    상기 유전체 막은, 질화규소, 산질화규소, 또는 탄질화규소 막인, 작업부재 상의 규소-질화물 결합들을 포함하는 유전체 막을 처리하는 방법.
  7. 작업부재 상에 유전체 물질을 형성하는 방법으로서,
    유동성 화학 기상 증착에 의해, 규소-질화물 결합들을 포함하는 유전체 막을 상기 작업부재 상에 증착하는 단계; 및
    챔버 내의 압력이 적어도 5 기압인 동안 상기 작업부재 상의 상기 규소-질화물 결합들을 포함하는 상기 유전체 막을 상기 챔버 내의 아민 가스에 노출시키는 단계를 포함하는, 작업부재 상에 유전체 물질을 형성하는 방법.
  8. 제7항에 있어서,
    상기 작업부재 상의 상기 유전체 막의 증착은 380 ℃ 미만의 온도에서 이루어지는, 작업부재 상에 유전체 물질을 형성하는 방법.
  9. 제1항 또는 제7항에 있어서,
    상기 유전체 막의 온도를 200 - 500 ℃로 상승시키는 단계를 포함하는, 작업부재 상에 유전체 물질을 형성하는 방법.
  10. 제7항에 있어서,
    상기 챔버 내에 상기 아민 가스를 도입함으로써 상기 챔버 내의 압력을 설정하는 단계를 포함하는, 작업부재 상에 유전체 물질을 형성하는 방법.
  11. 제7항에 있어서,
    상기 아민 가스는 암모니아 가스인, 작업부재 상에 유전체 물질을 형성하는 방법.
  12. 제7항에 있어서,
    상기 유전체 막은 핀 전계-효과 트랜지스터의 일부분인, 작업부재 상에 유전체 물질을 형성하는 방법.
  13. 제7항에 있어서,
    상기 유전체 막은, 질화규소, 산질화규소, 또는 탄질화규소 막인, 작업부재 상에 유전체 물질을 형성하는 방법.
  14. 어닐링 시스템으로서,
    챔버를 정의하는 챔버 몸체;
    작업부재의 외측 표면이 상기 챔버 내의 환경에 노출되게 상기 작업부재를 유지하기 위한 지지부;
    상기 챔버 내에 상기 작업부재를 삽입하기 위한 로봇;
    아민 가스를 제공하기 위한 가스 공급부;
    상기 챔버 내의 압력을 적어도 5 기압으로 상승시키기 위해 상기 챔버에 결합되는 압력 소스; 및
    상기 로봇, 상기 가스 공급부, 및 상기 압력 소스에 결합되는 제어기를 포함하며, 상기 제어기는, 상기 로봇으로 하여금, 상기 작업부재를 상기 챔버 내로 운반하게 하고, 상기 가스 공급부로 하여금, 상기 아민 가스를 상기 챔버에 공급하게 하고, 상기 압력 소스로 하여금, 상기 작업부재가 상기 챔버 내의 상기 지지부 상에 유지되는 동안 상기 챔버 내의 압력을 적어도 5 기압으로 상승시키게 하도록 구성되는, 어닐링 시스템.
  15. 제14항에 있어서,
    상기 지지부 상의 상기 작업부재의 온도를 200 - 500 ℃로 상승시키기 위한 가열기를 포함하는, 어닐링 시스템.
KR1020197038172A 2017-05-25 2018-05-24 질화규소 막의 고압 처리 KR102289791B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/605,751 2017-05-25
US15/605,751 US10847360B2 (en) 2017-05-25 2017-05-25 High pressure treatment of silicon nitride film
PCT/US2018/034284 WO2018217967A1 (en) 2017-05-25 2018-05-24 High pressure treatment of silicon nitride film

Publications (2)

Publication Number Publication Date
KR20200003242A true KR20200003242A (ko) 2020-01-08
KR102289791B1 KR102289791B1 (ko) 2021-08-17

Family

ID=64395897

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197038172A KR102289791B1 (ko) 2017-05-25 2018-05-24 질화규소 막의 고압 처리

Country Status (6)

Country Link
US (1) US10847360B2 (ko)
EP (1) EP3635769A4 (ko)
JP (1) JP6952799B2 (ko)
KR (1) KR102289791B1 (ko)
CN (1) CN110678959B (ko)
WO (1) WO2018217967A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226330A (ja) * 1992-01-27 1993-09-03 Nec Corp 容量絶縁膜の形成方法
US6063713A (en) * 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
KR20050010782A (ko) * 2002-06-12 2005-01-28 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 질화된 게이트 유전체 층에서 질소 프로파일을개선하기 위한 방법
US20100173470A1 (en) * 2009-01-08 2010-07-08 Samsung Electronics Co., Ltd. Methods of forming a silicon oxide layer and methods of forming an isolation layer
JP2011181931A (ja) * 2010-03-01 2011-09-15 Taiwan Semiconductor Manufacturing Co Ltd フィン型fetを有する半導体装置およびその製造方法
US20130302916A1 (en) * 2006-02-10 2013-11-14 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
JP2015534265A (ja) * 2012-09-11 2015-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低コストの流動性を有する誘電体膜
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US20160163540A1 (en) * 2014-12-09 2016-06-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
KR101649356B1 (ko) * 2014-01-20 2016-08-18 주식회사 풍산 반도체 기판 처리장치
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JPH1012816A (ja) * 1996-06-19 1998-01-16 Sony Corp 容量素子の製造方法
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP3350478B2 (ja) * 1999-04-21 2002-11-25 宮城沖電気株式会社 半導体素子の製造方法
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
EP1959299B1 (en) 2005-06-10 2012-12-26 Obducat AB Pattern replication with intermediate stamp
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP5051180B2 (ja) * 2009-05-26 2012-10-17 東京エレクトロン株式会社 成膜方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120106766A (ko) 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9818603B2 (en) * 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
EP3254303B1 (en) 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US10083834B2 (en) 2016-09-30 2018-09-25 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
CN110637353A (zh) 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226330A (ja) * 1992-01-27 1993-09-03 Nec Corp 容量絶縁膜の形成方法
US6063713A (en) * 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
KR20050010782A (ko) * 2002-06-12 2005-01-28 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 질화된 게이트 유전체 층에서 질소 프로파일을개선하기 위한 방법
US20130302916A1 (en) * 2006-02-10 2013-11-14 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US20100173470A1 (en) * 2009-01-08 2010-07-08 Samsung Electronics Co., Ltd. Methods of forming a silicon oxide layer and methods of forming an isolation layer
JP2011181931A (ja) * 2010-03-01 2011-09-15 Taiwan Semiconductor Manufacturing Co Ltd フィン型fetを有する半導体装置およびその製造方法
JP2015534265A (ja) * 2012-09-11 2015-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低コストの流動性を有する誘電体膜
KR101649356B1 (ko) * 2014-01-20 2016-08-18 주식회사 풍산 반도체 기판 처리장치
US20160163540A1 (en) * 2014-12-09 2016-06-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes

Also Published As

Publication number Publication date
US20180342384A1 (en) 2018-11-29
EP3635769A1 (en) 2020-04-15
JP6952799B2 (ja) 2021-10-20
JP2020522133A (ja) 2020-07-27
US10847360B2 (en) 2020-11-24
WO2018217967A1 (en) 2018-11-29
EP3635769A4 (en) 2021-03-03
CN110678959B (zh) 2023-07-25
KR102289791B1 (ko) 2021-08-17
CN110678959A (zh) 2020-01-10

Similar Documents

Publication Publication Date Title
KR102289791B1 (ko) 질화규소 막의 고압 처리
KR102467700B1 (ko) 고압 처리에 의한 텅스텐 탈플루오린화를 위한 어닐링 시스템
KR102310823B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들
TWI440089B (zh) 基板處理方法及基板處理裝置
JP6793031B2 (ja) 基板処理装置および基板処理方法、ならびに基板処理システム
JP2023513796A (ja) 流動性間隙充填膜のためのマルチステッププロセス
US20220230887A1 (en) Methods and apparatus for processing a substrate
TW202416418A (zh) 用於可流動間隙填充膜的多步驟處理

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant