KR102310823B1 - 고압 웨이퍼 처리 시스템들 및 관련된 방법들 - Google Patents

고압 웨이퍼 처리 시스템들 및 관련된 방법들 Download PDF

Info

Publication number
KR102310823B1
KR102310823B1 KR1020197029776A KR20197029776A KR102310823B1 KR 102310823 B1 KR102310823 B1 KR 102310823B1 KR 1020197029776 A KR1020197029776 A KR 1020197029776A KR 20197029776 A KR20197029776 A KR 20197029776A KR 102310823 B1 KR102310823 B1 KR 102310823B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
arm
pressure
slit
Prior art date
Application number
KR1020197029776A
Other languages
English (en)
Other versions
KR20190118685A (ko
Inventor
치웨이 리앙
스리니바스 디. 네마니
아디브 칸
벤카타 라비샨카르 카시보틀라
술탄 말릭
션 에스. 강
키스 태츤 웡
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217031754A priority Critical patent/KR102449794B1/ko
Publication of KR20190118685A publication Critical patent/KR20190118685A/ko
Application granted granted Critical
Publication of KR102310823B1 publication Critical patent/KR102310823B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

기판을 처리하기 위한 고압 처리 시스템은, 제1 챔버; 기판을 지지하도록 제1 챔버 내에 위치된 페디스털; 제1 챔버에 인접한 제2 챔버; 제2 챔버 내의 압력을 거의 진공으로 낮추도록 구성되는 진공 처리 시스템; 제1 챔버 내의 압력을 제2 챔버 내의 압력으로부터 격리시키기 위한, 제1 챔버와 제2 챔버 사이의 밸브 조립체; 및 제1 챔버 내로 처리 가스를 도입하고, 처리 가스가 제1 챔버 내에 있는 동안 그리고 제1 챔버가 제2 챔버로부터 격리되어 있는 동안 제1 챔버 내의 압력을 적어도 10 기압으로 증가시키도록 구성되는 가스 전달 시스템을 포함한다.

Description

고압 웨이퍼 처리 시스템들 및 관련된 방법들
본 명세서는 웨이퍼 처리 시스템들 및 관련된 방법들에 관한 것이다.
마이크로전자 회로들 및 다른 마이크로규모 디바이스들은 일반적으로 기판 또는 웨이퍼, 이를테면, 규소 또는 다른 반도체 물질 웨이퍼로부터 제조된다. 마이크로전자 또는 다른 마이크로규모 구성요소들을 형성하거나 전기 상호연결부들을 제공하기 위해 다수의 금속 층들이 기판 상에 적용된다. 이러한 금속 층들, 예컨대 구리가 기판 상에 도금되어, 일련의 포토리소그래피, 도금, 식각, 연마, 또는 다른 단계들에서 구성요소들 및 상호연결부들을 형성한다.
원하는 물질 특성들을 달성하기 위해, 기판은 전형적으로 어닐링 공정을 거치게 되며, 어닐링 공정에서, 기판은 일반적으로 약 200 - 500 ℃로, 그리고 더 전형적으로는 약 300 - 400 ℃로 신속하게 가열된다. 기판은 비교적 짧은 시간, 예컨대 60 - 300 초 동안 이러한 온도들에서 유지될 수 있다. 그런 다음, 기판이 급속하게 냉각되는데, 전체 공정은 일반적으로 몇 분만을 소요한다. 어닐링은 기판 상의 층들의 물질 특성들을 변화시키는 데 사용될 수 있다. 어닐링은 또한, 도펀트들을 활성화시키거나, 기판 상의 막들 사이로 도펀트들을 유도하거나, 막 간 또는 막과 기판 간 계면들을 변화시키거나, 증착된 막들을 치밀화하거나, 또는 이온 주입으로부터의 손상을 복구하는 데 사용될 수 있다.
마이크로전자 디바이스들 및 상호연결부들에 대한 피쳐 크기들이 더 작아짐에 따라, 허용가능한 결함률이 실질적으로 감소된다. 일부 결함들은 오염물 입자들에 기인한다. 다른 결함들은 웨이퍼의 특정 구역들의 불완전한 처리, 예컨대, 트렌치의 최하부에서의 막 성장 실패에 기인할 수 있다.
과거에는 다양한 어닐링 챔버들이 사용되어 왔다. 단일 웨이퍼 처리 장비에서, 이러한 어닐링 챔버들은 전형적으로, 기판의 온도 프로파일을 제어하기 위해 가열 및 냉각 요소들 상에 또는 그 사이에 기판을 위치시킨다. 그러나, 정확하고 반복가능한 온도 프로파일들뿐만 아니라 수용가능한 수준의 결함들을 달성하는 것은 공학기술적 난제들을 야기할 수 있다.
일 양상에서, 기판을 처리하기 위한 고압 처리 시스템은, 제1 챔버; 기판을 지지하도록 제1 챔버 내에 위치된 페디스털; 제1 챔버에 인접한 제2 챔버; 제2 챔버 내의 압력을 거의 진공으로 낮추도록 구성되는 진공 처리 시스템; 제1 챔버 내의 압력을 제2 챔버 내의 압력으로부터 격리시키기 위한, 제1 챔버와 제2 챔버 사이의 밸브 조립체; 제1 챔버 내로 처리 가스를 도입하고, 처리 가스가 제1 챔버 내에 있는 동안 그리고 제1 챔버가 제2 챔버로부터 격리되어 있는 동안 제1 챔버 내의 압력을 적어도 10 기압으로 증가시키도록 구성되는 가스 전달 시스템; 및 제어기를 포함한다. 제어기는, 제1 챔버 내로 처리 가스를 도입하도록 가스 전달 시스템을 동작시키고, 기판이 제1 챔버로부터 제2 챔버로 이송될 수 있게 하도록 밸브 조립체를 개방하게 구성된다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
밸브 조립체는 제1 챔버와 제2 챔버 사이에 슬릿 밸브를 포함할 수 있다. 슬릿 밸브는 제1 챔버와 제2 챔버 사이의 벽을 통한 슬릿, 및 암을 포함하며, 암은, 제1 챔버와 제2 챔버 사이에 밀봉을 형성하도록 암이 슬릿을 덮는 제1 위치와 슬릿이 덮이지 않는 제2 위치 사이에서 이동가능하다. 기판은 슬릿 밸브를 통해 제1 챔버로부터 제2 챔버로 이송가능할 수 있다. 암은, 제1 챔버와 제2 챔버 사이에 밀봉을 형성하기 위해, 제1 위치에서, 제1 챔버를 정의하는 벽의 내측 표면에 맞물리게 구성될 수 있다. 액추에이터는 슬릿에 대해 암을 이동시킬 수 있다. 액추에이터는, 제2 챔버 외부에서 또는 제2 챔버 내에서 암의 근단부에 결합될 수 있다. 암은, 제1 챔버와 제2 챔버 사이에 밀봉을 형성하기 위해, 제1 위치에서, 제1 챔버의 외측 표면에 맞물리게 구성될 수 있다.
페디스털은 제1 챔버를 정의하는 벽들에 고정될 수 있다. 제1 챔버를 정의하는 벽들은, 밸브 조립체를 제공하기 위해, 제1 챔버를 정의하는 베이스에 대해 이동가능할 수 있다. 페디스털은 제1 챔버의 천장에 매달릴 수 있다.
가스 전달 시스템은, 제1 챔버 내의 가스를 배기함으로써 제1 챔버를 감압시키기 위한 배기 시스템을 포함할 수 있다. 제어기는, 밸브 조립체가 개방되기 전에 제1 챔버를 감압시키도록 배기 시스템을 동작시키게 구성될 수 있다. 진공 처리 시스템은 제2 챔버 내에 압력을 생성하도록 구성될 수 있으며, 그 압력은 1 기압 미만이다.
가열 요소는, 기판이 페디스털 상에 지지될 때 기판을 어닐링하기 위해 기판에 열을 가하도록 구성될 수 있다. 가열 요소는 페디스털 내에 위치될 수 있다. 가열 요소는 제1 챔버를 정의하는 벽들 내에 위치될 수 있다.
로봇 암은 밸브 조립체를 통해 제1 챔버로부터 제2 챔버로 기판을 이송하도록 구성될 수 있다. 리프트 핀 조립체는 페디스털로부터 기판을 들어올릴 수 있다.
반도체 제조 장치는, 내부에 로봇이 위치되는 중앙 진공 챔버, 중앙 진공 챔버에 결합되는 팩토리 인터페이스 모듈, 제1 진공 밸브에 의해 중앙 진공 챔버에 결합되는 저압 기판 처리 시스템, 및 위에 설명된 고압 처리 시스템을 포함할 수 있다. 제2 챔버는 제2 진공 밸브에 의해 중앙 진공 챔버에 결합될 수 있다.
다른 양상에서, 반도체 처리 방법은, 기판 상의 층을 처리하고 층의 처리 동안 제1 챔버 내에 적어도 10 기압의 압력을 생성하도록 제1 챔버 내로 처리 가스를 도입하는 단계, 및 제1 챔버로부터 제2 챔버로 직접 기판을 이송하는 단계를 포함하며, 제2 챔버는 1 기압 미만의 압력을 갖는다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다. 처리 가스를 도입한 후 기판을 이송하기 전에, 제1 챔버 내의 압력을 감소시키기 위해 처리 가스가 제1 챔버로부터 배기될 수 있다. 기판을 이송하기 전에 제1 챔버와 제2 챔버 사이의 슬릿 밸브가 개방될 수 있다. 기판은 슬릿 밸브를 통해 제2 챔버로 이송될 수 있다. 슬릿 밸브를 개방하는 것은, 암과 슬릿 밸브가 제1 챔버와 제2 챔버 사이에 밀봉을 형성하는 제1 위치로부터 슬릿 밸브가 개방되는 제2 위치로 암을 이동시키는 것을 포함할 수 있다. 처리 가스가 도입된 후에 기판을 어닐링하기 위해 기판에 열이 가해질 수 있다. 기판은 규소 물질을 포함할 수 있다.
전술한 것의 이점들은, 아래에서 그리고 다른 곳에서 본원에 설명된 것들을 포함할 수 있지만 이에 제한되지 않는다. 특정 양상들에 따른 고압 처리 시스템은 기판 상의 물질 층의 처리, 예컨대 어닐링 또는 증착의 철저함을 개선할 수 있다. 예컨대, 고압 환경에서 어닐링 또는 증착됨으로써, 결과적인 물질이 기판 상의 복잡한 표면 기하학적 구조, 예컨대 식각된 기하학적 구조들 내로 더 용이하게 침투될 수 있다. 결과적으로, 공정 동안 더 적은 결함들이 발생할 수 있다.
본 명세서에서 설명되는 주제의 하나 이상의 구현의 세부사항들은, 첨부된 도면들 및 아래의 설명에서 기재된다. 다른 잠재적인 특징들, 양상들 및 이점들은, 설명, 도면들 및 청구항들로부터 명백해질 것이다.
도 1은 처리 플랫폼의 도면이다.
도 2는 고압 시스템의 도면이다.
도 3은 고압 처리 시스템의 예의 개략적인 측면도이다.
도 4는 고압 처리 시스템의 다른 예의 개략적인 측면도이다.
도 5는 고압 처리 시스템의 또 다른 예의 개략적인 측면도이다.
도 6은 고압 처리 시스템의 또 다른 예의 개략적인 측면도이다.
도 7은 페디스털의 개략적인 측면도이다.
위에 언급된 바와 같이, 일부 결함들은 기판의 특정 구역들의 불완전한 처리에 기인할 수 있다. 그러나, 고압 처리는 기판에 걸친 처리의 일관성을 개선할 수 있다. 특히, 어닐링 또는 증착이 고압 환경에서 발생할 수 있으며, 이는, 물질 층의 처리의 철저함을 개선하는 것을 도울 수 있다. 결과적으로, 기판에 걸쳐 층이 더 균일하게 형성되거나 개질될 수 있다. 고압 처리는 또한, 더 낮은 압력들에서 이용가능하지 않은 화학 반응들에 대한 접근을 제공할 수 있다.
다른 문제는, 구리와 같은 특정 물질들은 약 70 ℃를 초과하는 온도들에서 산소에 노출될 때 급속하게 산화될 것이라는 점이다. 구리 또는 다른 물질이 산화되는 경우, 기판이 더 이상 사용가능하지 않을 수 있거나, 추가적인 처리 전에 산화물 층이 먼저 제거되어야 한다. 이들 둘 모두는 효율적인 제조에 있어 수용가능하지 않은 옵션들이다. 따라서, 설계 인자는, 기판 온도가 약 70 ℃를 초과할 때 기판을 산소로부터 격리시키는 것이다. 산소는 물론 주변 공기에 존재하므로, 어닐링 동안 구리의 산화를 피하는 것은 또한 공학기술적 난제들을 야기할 수 있다. 본원에서 설명된 바와 같이, 기판은, 기판의 오염 및 산화를 피하기 위해, 고압 처리 챔버와 저압, 예컨대 거의 진공의 환경의 상이한 처리 챔버들 사이에서 이송될 수 있다.
웨이퍼의 온도 균일성은, 웨이퍼 상의 구리 또는 다른 물질들의 결정질 구조에 영향을 주기 때문에, 다른 중요한 설계 인자이다. 처리 시스템, 예컨대 페디스털 구성은 웨이퍼의 균일한 가열을 제공할 수 있다.
다른 고려사항은 서비스가능성이다. 가능한 한 신속하고 효율적으로 챔버를 복원하거나 서비스할 수 있는 것이 중요하다. 본원에서 설명된 챔버 구성들은 서비스하기가 용이할 수 있다.
도 1은, 물리 기상 증착, 화학 기상 증착, 및/또는 본원에서 설명된 어닐링 공정들의 적어도 하나의 실시예를 수행하는 데 적합한 통합 다중 챔버 기판 처리 시스템을 도시한다. 일반적으로, 다중 챔버 기판 처리 시스템은, 증착 또는 어닐링과 같은 고압 공정을 수행하기 위한, 예컨대 10 기압 초과의 압력들에서 동작할 수 있는 적어도 하나의 고압 처리 챔버, 및 식각, 증착, 또는 열 처리와 같은 저압 공정을 수행하기 위한, 예컨대 약 100 밀리토르(milliTorr) 미만의 압력들에서 동작할 수 있는 적어도 하나의 저압 처리 챔버를 포함한다. 일부 구현들에서, 다중 챔버 처리 시스템은 저압인 중앙 이송 챔버를 갖는 클러스터 툴이며, 중앙 이송챔버로부터, 다수의 처리 챔버들에 접근할 수 있다.
본원에서 설명된 공정들 및 시스템들의 일부 실시예들은, 피쳐 정의들을 위한 물질 층들, 예컨대 금속 및 금속 실리사이드 배리어들을 형성하는 것에 관한 것이다. 예컨대, 제1 금속 층이 규소 기판 상에 증착되고 어닐링되어 금속 실리사이드 층을 형성한다. 이어서, 제2 금속 층이 금속 실리사이드 층 상에 증착되어 피쳐를 채운다. 금속 실리사이드 층을 형성하기 위한 어닐링 공정은 다수의 어닐링 단계들에서 수행될 수 있다.
도 1은, 2개의 이송 챔버(102, 104), 이송 챔버들(102, 104)에 각각 위치되는 이송 로봇들(106, 108), 및 2개의 이송 챔버(102, 104) 상에 배치되는 처리 챔버들(110, 112, 114, 116, 118)을 포함하는 처리 플랫폼(100)의 일 실시예의 개략적인 평면도이다. 제1 및 제2 이송 챔버들(102, 104)은, 인접한 처리 챔버들(110, 112, 114, 116, 118)과 인터페이싱하는 중앙 진공 챔버들이다. 제1 이송 챔버(102) 및 제2 이송 챔버(104)는, 쿨다운 또는 사전 가열 챔버들을 포함할 수 있는 통과 챔버들(120)에 의해 분리된다. 통과 챔버들(120)은 또한, 제1 이송 챔버(102)와 제2 이송 챔버(104)가 상이한 압력들에서 동작할 때, 기판 취급 동안 펌핑 다운(pump down)되거나 환기될 수 있다. 예컨대, 제1 이송 챔버(102)는 약 100 밀리토르 내지 약 5 토르(Torr), 이를테면 약 40 밀리토르에서 동작할 수 있고, 제2 이송 챔버(104)는 약 1×10-5 토르 내지 약 1×10-8 토르, 이를테면 약 1×10-7 토르에서 동작할 수 있다.
처리 플랫폼(100)은 제어기(122)를 프로그래밍함으로써 자동화된다. 제어기(122)는 기판을 처리하기 위해 처리 플랫폼(100)의 챔버들 각각에 대한 개별 동작들을 동작시킬 수 있다.
제1 이송 챔버(102)는, 2개의 탈기 챔버(124), 2개의 로드 록 챔버(128), 반응성 사전 세정 챔버(118), 적어도 하나의 물리적 기상 증착 챔버, 바람직하게는 롱 스로우(long throw) 물리적 기상 증착(PVD) 챔버(110), 및 통과 챔버들(120)과 결합된다. 사전 세정 챔버는, 캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스(Applied Materials)로부터 상업적으로 입수가능한 PreClean II 챔버일 수 있다. 기판들(도시되지 않음)은 로드 록 챔버들(128)을 통해 처리 플랫폼(100) 내로 적재된다. 예컨대, 팩토리 인터페이스 모듈(132)은, 존재하는 경우, 인간 오퍼레이터 또는 자동화된 기판 취급 시스템으로부터, 하나 이상의 기판, 예컨대 웨이퍼, 웨이퍼들의 카세트들, 또는 웨이퍼들의 밀폐된 포드(pod)들을 수신하는 것을 담당할 것이다. 팩토리 인터페이스 모듈(132)은, 적용가능한 경우, 기판의 카세트들 또는 포드들을 개방하고, 로드 록 챔버(128)로 또는 그로부터 기판들을 이동시킬 수 있다. 처리 챔버들(110, 112, 114, 116, 118)은, 이송 챔버들(102, 104)로부터 기판들을 수신하고, 기판들을 처리하고, 기판들을 이송 챔버들(102, 104) 내로 다시 이송될 수 있게 한다. 처리 플랫폼(100) 내로 적재된 후에, 기판들은 순차적으로, 각각 탈기 챔버들(124)에서 탈기되고 사전 세정 챔버(118)에서 세정된다.
처리 챔버들 각각은 격리 밸브에 의해 이송 챔버들(102, 104)로부터 격리되며, 격리 밸브는, 처리 챔버들이 이송 챔버들(102, 104)과 상이한 진공 수준에서 동작할 수 있게 하고, 처리 챔버에서 사용되는 임의의 가스들이 이송 챔버 내로 도입되는 것을 방지한다. 로드 록 챔버들(128)이 또한 격리 밸브들을 이용하여 이송 챔버(102, 104)로부터 격리된다. 각각의 로드 록 챔버(128)는, 외부 환경에 개방되는, 예컨대, 팩토리 인터페이스 모듈(132)에 개방되는 도어를 갖는다. 정상 동작에서, 기판들이 적재된 카세트는 팩토리 인터페이스 모듈(132)로부터 도어를 통해 로드 록 챔버(128) 내로 배치되고, 도어는 폐쇄된다. 이어서, 로드 록 챔버(128)가 이송 챔버(102)와 동일한 압력으로 진공배기(evacuate)되고, 로드 록 챔버(128)와 이송 챔버(102) 사이의 격리 밸브가 개방된다. 이송 챔버(102) 내의 로봇은 제 위치로 이동되고, 하나의 기판이 로드 록 챔버(128)로부터 제거된다. 로드 록 챔버(128)에는 바람직하게는, 하나의 기판이 카세트로부터 제거되도록 엘리베이터 메커니즘이 구비되며, 엘리베이터는, 다른 웨이퍼가 로봇 블레이드 상에 위치될 수 있도록 다른 웨이퍼를 이송 평면에 위치시키기 위해 카세트 내의 웨이퍼들의 스택을 이동시킨다.
이어서, 이송 챔버(102) 내의 이송 로봇(106)은, 기판이 처리 챔버 위치와 정렬되도록 기판과 함께 회전한다. 처리 챔버에서 임의의 유독성 가스들이 플러싱(flush)되어, 이송 챔버와 동일한 압력 수준이 되고, 격리 밸브가 개방된다. 이어서, 이송 로봇(106)은 처리 챔버 내로 웨이퍼를 이동시키며, 처리 챔버에서 웨이퍼가 로봇으로부터 들어올려진다. 이어서, 이송 로봇(106)은 처리 챔버로부터 후퇴되고, 격리 밸브가 폐쇄된다. 이어서, 처리 챔버는 웨이퍼 상에서 특정된 공정을 실행하기 위해 일련의 동작들을 거친다. 완료될 때, 처리 챔버는 이송 챔버(102)와 동일한 환경으로 다시 돌아가고, 격리 밸브가 개방된다. 이송 로봇(106)은 처리 챔버로부터 웨이퍼를 제거하고, 이어서, 다른 동작을 위해 웨이퍼를 다른 처리 챔버로 이동시키거나, 웨이퍼들의 전체 카세트가 처리되었을 때, 처리 플랫폼(100)으로부터 제거되도록 로드 록 챔버(128)에서 웨이퍼를 교체한다.
이송 로봇들(106, 108)은, 기판을 지지하고 상이한 처리 챔버들 사이에서 이동시키는 로봇 암들(107, 109)을 각각 포함한다. 이송 로봇(106)은 탈기 챔버들(124)과 사전 세정 챔버(118) 사이에서 기판을 이동시킨다. 이어서, 기판은, 기판 상에 물질을 증착하기 위해 롱 스로우 PVD 챔버(110)로 이송될 수 있다.
제2 이송 챔버(104)는 처리 챔버들(110, 112, 114, 130)의 클러스터에 결합된다. 처리 챔버들(110, 112)은, 오퍼레이터가 원하는 바와 같은 물질들, 이를테면 텅스텐을 증착하기 위한 화학 기상 증착(CVD) 챔버들일 수 있다. 적합한 CVD 챔버의 예는, 캘리포니아 주 산타 클라라에 위치한 어플라이드 머티어리얼스 인코포레이티드로부터 상업적으로 입수가능한 W×Z™ 챔버들을 포함한다. CVD 챔버들은 바람직하게는, 원자 층 증착(ALD) 기법들뿐만 아니라 종래의 화학 기상 증착 기법들에 의해 물질들을 증착하도록 적응된다. 처리 챔버들(114 및 130)은, 진공 또는 거의 진공의 압력들에서 기판들을 어닐링할 수 있는 급속 열 어닐링(RTA) 챔버들 또는 금속 열 공정(RTP) 챔버들일 수 있다. RTA 챔버(114)의 예는 캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 상업적으로 입수가능한 RADIANCE™이다. 대안적으로, 처리 챔버들(114 및 130)은, 고온 CVD 증착, 어닐링 공정들, 또는 인 시튜 증착 및 어닐링 공정들을 수행할 수 있는 W×Z™ 증착 챔버들일 수 있다. PVD 처리된 기판들은 통과 챔버들(120)을 통해 제1 이송 챔버(102)로부터 제2 이송 챔버(104)로 이동된다. 그 후, 이송 로봇(108)은 처리에 요구되는 바와 같은 물질 증착 및 어닐링을 위해 처리 챔버들(110, 112, 114, 130) 중 하나 이상 사이에서 기판들을 이동시킨다.
RTA 챔버들(도시되지 않음)은 또한, 처리 플랫폼(100)으로부터의 기판 제거 또는 제2 이송 챔버(104)로의 이송 전에, 사후 증착 어닐링 공정들을 제공하기 위해 플랫폼(100)의 제1 이송 챔버(102) 상에 배치될 수 있다.
도시되진 않지만, 개개의 챔버들에서의 압력들을 독립적으로 조절하기 위해, 복수의 진공 펌프들이 각각의 이송 챔버 및 처리 챔버들 각각과 유체 연통하게 배치된다. 펌프들은 로드 록 챔버로부터 처리 챔버들로 장치에 걸쳐 증가하는 압력의 진공 구배를 설정할 수 있다.
대안적으로 또는 그에 더하여, 플라즈마 식각 챔버, 이를테면, 캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드에 의해 제조되는 분리식 플라즈마 소스 챔버(DPS™ 챔버)가, PVD 금속 증착 및/또는 증착된 금속의 어닐링 후에 반응하지 않은 금속을 제거하기 위해, 처리 플랫폼(100)에 결합되거나 기판 표면을 식각하기 위한 별개의 처리 시스템에 있을 수 있다. 예컨대, 어닐링 공정에 의해 코발트 및 규소 물질로부터 코발트 실리사이드를 형성함에 있어, 기판 표면으로부터 반응하지 않은 코발트 물질을 제거하기 위해 식각 챔버가 사용될 수 있다.
다른 식각 공정들 및 장치, 이를테면 습식 식각 챔버가 본원에서 설명된 공정 및 장치와 함께 사용될 수 있다.
도 2는, 기판을 처리하기 위한 고압 환경 및 기판이 처리 챔버들 사이에서 이송될 때의 기판을 위한 저압 환경을 생성하는 제어된 고압 시스템(200)을 예시한다. 제어된 고압 시스템(200)은 제1 고압 챔버(202) 및 제2 진공 챔버(204)를 포함한다. 제1 챔버(202)는 처리 플랫폼(100)의 처리 챔버들(110, 112, 114, 116, 118, 130) 중 하나에 대응할 수 있고, 제2 챔버(204)는 처리 플랫폼(100)의 이송 챔버들(102, 104) 중 하나에 대응할 수 있다. 대안적으로, 일부 구현들에서, 처리 챔버들(110, 112, 114, 116, 118, 130) 중 하나는 제1 챔버 (202) 및 제2 챔버(204) 둘 모두를 포함한다. 제1 챔버(202)는 내측 챔버에 대응하고, 제2 챔버(204)는 내측 챔버를 둘러싸는 외측 챔버에 대응한다.
제1 챔버(202) 내의 압력은 제2 챔버(204) 내의 압력과 독립적으로 제어될 수 있다. 제1 및 제2 챔버들(202, 204)이 이송 챔버들과 별개인 경우, 제1 및 제2 챔버들(202, 204)은 이송 챔버들 내의 압력들과 독립적으로 제어되는 압력들을 갖는다. 제어된 고압 시스템(200)은, 가스 전달 시스템(206), 진공 처리 시스템(208), 및 제어기(210)를 더 포함한다. 일부 예들에서, 처리 플랫폼(100)의 제어기(122)는 제어기(210)를 포함할 수 있다.
제2 챔버(204)는 제1 챔버(202)에 인접한 저압 챔버이다. 일부 구현들에서, 제2 챔버(204)는 또한 제1 챔버(202)를 둘러싼다. 제2 챔버(204)는, 상이한 처리 챔버들 사이에서 기판을 수신하는 이송 챔버, 예컨대 이송 챔버(102) 또는 이송 챔버(104)에 대응할 수 있다. 제2 챔버(204)의 저압 환경은 기판 또는 기판 상에 형성된 물질의 오염 및/또는 산화를 억제할 수 있다.
가스 전달 시스템(206)은 제1 챔버(202)를 가압 및 감압하도록 동작된다. 제1 챔버(202)는, 가스 전달 시스템(206)으로부터 처리 가스를 수신하고 예컨대 적어도 10 기압의 압력으로 고압을 설정하는 고압 처리 챔버이다. 처리 가스는, 예컨대, 층을 개질하거나 새로운 층을 형성하기 위해 물질과 반응함으로써, 층을 어닐링하도록 처리되는 층과 상호작용할 수 있다. 처리 가스는 수소를 포함할 수 있는데, 예컨대, 처리 가스는 수소 가스(H2)일 수 있다. 대안적으로, 처리 가스는, 예컨대 증착 공정을 위한, 기판 상에 형성될 물질에 대한 소스로서 기능하는 전구체 가스일 수 있다. 제1 챔버(202)를 가압하기 위해, 가스 전달 시스템(206)은 제1 챔버(202) 내로 처리 가스를 도입한다. 일부 경우들에서, 가스 전달 시스템(206)은 또한 제1 챔버(202) 내의 압력을 증가시키기 위해 제1 챔버(202) 내로 수증기를 도입할 수 있다.
가스 전달 시스템(206)은, 제1 챔버(202)로부터 처리 가스를 배기함으로써 제1 챔버(302)를 감압시키기 위한 배기 시스템(211)을 포함할 수 있다. 진공 처리 시스템(208)은, 제2 챔버(204)의 압력을, 진공 또는 거의 진공의 압력, 예컨대 1 밀리토르 미만이도록 제어하게 동작된다. 예컨대, 진공 처리 시스템(208)은 제2 챔버(204) 내의 압력을 거의 진공으로 낮추며, 그에 의해, 기판의 이송에 대한 적절한 저압 환경이 생성된다.
제1 챔버(202)와 제2 챔버(204) 사이의 밸브 조립체(212)는 제1 챔버(202) 내의 압력을 제2 챔버(204) 내의 압력으로부터 격리시킨다. 따라서, 제1 챔버(202) 내의 고압 환경은 제2 챔버(204) 내의 저압 환경으로부터 분리 및 밀봉될 수 있다. 밸브 조립체(212)는, 기판이 제1 챔버(202)로부터 제2 챔버(204) 내로 직접 이송될 수 있게 하도록 또는 기판이 제2 챔버(204)로부터 제1 챔버(202) 내로 직접 이송될 수 있게 하도록 개방가능하다.
일부 구현들에서, 고압 시스템(200)은, 이송 챔버, 예컨대 이송 챔버들(102, 104) 중 하나에 연결되고 외부 환경에 연결되는 포어라인(214)을 포함한다. 격리 밸브(216)는, 제2 챔버(204) 내의 압력을 외부 환경의 압력으로부터 격리시키도록 포어라인(214)을 따라 배열된다. 격리 밸브(216)는, 제2 챔버(204) 내의 압력을 조정하고 제2 챔버(204) 내의 가스들을 방출하도록 동작될 수 있다. 격리 밸브(216)는, 진공 처리 시스템(208)과 함께 동작되어 제2 챔버(204) 내의 압력을 조절할 수 있다.
도 3 내지 도 6은 기판 상의 층을 처리하기 위한 고압 처리 시스템들의 다양한 실시예들을 도시한다. 이러한 고압 처리 시스템들의 챔버들의 압력은 도 2와 관련하여 설명된 것들과 유사한 시스템들을 사용하여 제어될 수 있다.
도 3을 참조하면, 고압 처리 시스템(300)은, 제1 챔버(302), 페디스털(304), 제2 챔버(306), 및 제어기(예컨대, 제어기(122))를 포함한다. 고압 처리 시스템(300)은, 진공 처리 시스템(208)과 유사한 진공 처리 시스템(도시되지 않음), 및 도 2와 관련하여 설명된 가스 전달 시스템(206)과 유사한 가스 전달 시스템(307)을 더 포함한다. 예컨대, 가스 전달 시스템(307)은 입력 라인(307a) 및 배기 라인(307b)을 포함한다. 처리 가스는 입력 라인(307a)을 통해 제1 챔버(302) 내로 도입되고, 처리 가스는 배기 라인(307b)을 통해 제1 챔버(302)로부터 배기된다.
페디스털(304)은 기판(314)을 지지하며, 그 기판 상의 물질 층이 처리, 예컨대 어닐링 또는 증착될 것이다. 페디스털(304)은 제1 챔버(302) 내에 위치되거나 위치가능하다. 일부 구현들에서, 기판(314)은 페디스털의 평평한 최상부 표면 상에 직접 놓인다. 일부 구현들에서, 기판(314)은 페디스털로부터 돌출된 핀들(330) 상에 놓인다.
고압 처리 시스템(300)은 내측 벽(320), 베이스(322), 및 외측 벽(324)을 포함한다. 제1 챔버(302)는 내측 벽(320) 내의, 예컨대 내측 벽(320)과 베이스(322) 사이의 용적에 의해 제공된다. 제2 챔버(304)는 내측 벽(320) 외부의, 예컨대 내측 벽(320)과 외측 벽(324) 사이의 용적에 의해 제공된다.
고압 처리 시스템(300)은, 도 2의 밸브 조립체(212)의 기능성을 제공하는, 즉, 제1 챔버(302)를 제2 챔버(306)로부터 격리시키도록 동작될 수 있는, 제1 챔버(302)와 제2 챔버(306) 사이의 밸브 조립체(316)를 더 포함한다. 예컨대, 밸브 조립체(316)는, 내측 벽(320), 베이스(322), 및 내측 벽(320)에 대해 베이스(322)를 이동시키기 위한 액추에이터(323)를 포함한다. 액추에이터(323)는, 베이스(322)가 수직으로, 예컨대, 제1 챔버(302)를 정의하는 벽들(320)을 향하여 또는 그로부터 멀어지도록 이동하게 구동하도록 제어될 수 있다. 베이스(322)가 수직으로 이동하는 것을 허용하면서 외부 대기로부터 제2 챔버(306)를 밀봉하기 위해 벨로우즈(328)가 사용될 수 있다. 벨로우즈(328)는 베이스(322)의 최하부로부터 외측 벽(324)에 의해 형성되는 제2 챔버(306)의 바닥까지 연장될 수 있다.
밸브 조립체(316)가 폐쇄 위치에 있을 때, 베이스(322)는, 베이스(322)와 벽들(320) 사이에 밀봉이 형성되도록 벽들(320)과 접촉하며, 그에 따라, 외측 챔버(306)가 내측 챔버(302)로부터 분리된다. 액추에이터(323)는, 밀봉을 형성하기에 충분한 힘으로 베이스(322)를 내측 벽들(320)을 향해 구동하도록 동작된다. 밀봉은, 제1 고압 챔버(302)로부터의 공기가 저압 제2 챔버(306) 내로 배기되는 것을 억제한다.
밸브 조립체(316)가 개방 위치에 있을 때, 베이스(322)는 벽들(320)로부터 이격되며, 그에 의해, 제1 및 제2 챔버들(302, 306) 사이에서 공기가 통할 수 있게 되며, 또한, 기판(314)이 다른 챔버로 접근 및 이송될 수 있게 된다.
페디스털(304)이 베이스(322) 상에 지지되기 때문에, 그에 따라, 페디스털(304)은 또한 내측 벽들(320)에 대해 이동가능하다. 페디스털(304)은, 기판(314)이 이송 로봇에 의해 더 용이하게 접근가능할 수 있도록 이동될 수 있다. 예컨대, 이송 로봇(106 또는 108)(도 1 참조)의 암은 외측 벽(324)의 애퍼쳐(326)를 통해 연장될 수 있다. 밸브 조립체(316)가 개방 위치에 있을 때, 로봇 암은 기판(314)에 접근하기 위해 내측 벽(320)과 베이스(322) 사이의 갭을 통과할 수 있다.
일부 구현들에서, 고압 처리 시스템(300)은, 기판(314)에 열을 가하도록 구성되는 하나 이상의 가열 요소(318)를 포함한다. 가열 요소들(318)로부터의 열은, 기판(314)이 페디스털(304) 상에 지지되고, (사용되는 경우) 처리 가스가 제1 챔버(302) 내로 도입되었을 때 기판(314)을 어닐링하기에 충분할 수 있다. 가열 요소들(318)은 저항성 가열 요소들일 수 있다. 하나 이상의 가열 요소(318)가, 예컨대, 내측 벽들(320)에 의해 제공되는 제1 챔버(302)의 천장에서, 제1 챔버(302)를 정의하는 내측 벽들(320)에 위치될 수 있는데, 예컨대, 매립될 수 있다. 이는 내측 벽(320)을 가열하여, 복사열이 기판(314)에 도달하게 한다. 기판(314)은, 내측 벽(320)으로부터 기판(314)으로의 열의 전달을 개선하기 위해, 천장에 매우 근접하게(예컨대, 2 - 10 mm) 페디스털(304)에 의해 유지될 수 있다.
그러나, 하나 이상의 가열 요소(318)는 고압 처리 시스템(300) 내의 다른 위치들에, 예컨대, 천장이 아니라 측벽들에 배열될 수 있다. 가열 요소(318)의 예는 이산 가열 코일을 포함한다. 내측 벽에 매립된 가열기 대신 또는 그에 부가하여, 복사 가열기, 예컨대 적외선 램프가 제1 챔버(302) 외부에 위치될 수 있고, 내측 벽(320)의 창을 통해 적외선 복사를 지향시킬 수 있다. 전선들은 전기 소스(도시되지 않음), 이를테면 전압 소스를 가열 요소에 연결하며, 하나 이상의 가열 요소(318)를 제어기에 연결할 수 있다.
제어기는, 기판(314) 상의 물질 층을 처리, 예컨대 어닐링 또는 증착하도록 동작들을 제어하기 위해, 진공 처리 시스템, 가스 전달 시스템(307), 및 밸브 조립체(316)에 동작가능하게 연결된다. 일부 구현들에서, 제어기는 또한 다른 시스템들에 동작가능하게 연결될 수 있다. 예컨대, 제어기는 또한, 이송 로봇들(106, 108), 하나 이상의 가열 요소(318), 및/또는 액추에이터(323) 중 하나 이상에 동작가능하게 연결될 수 있다. 일부 경우들에서, 도 1에 도시된 제어기(122)는 고압 처리 시스템(300)의 제어기를 포함한다.
기판(314) 상의 물질 층을 처리함에 있어, 제어기는, 제2 챔버(306)를 통한 기판(314)의 이송을 준비하기 위해, 제2 챔버(306)를 저압 상태, 예컨대, 제2 챔버(306)가 1 기압 미만의 압력을 갖는 상태로 감압하도록 진공 처리 시스템을 동작시킬 수 있다. 저압 상태는 거의 진공의 상태, 예컨대, 1 밀리토르 미만의 압력일 수 있다. 기판(314)은, 기판(314)의 오염 및 산화가 억제될 수 있도록 제2 챔버(306)가 저압으로 있는 동안, 이송 로봇, 예컨대 이송 로봇들(106, 108) 중 하나에 의해 제2 챔버(306)를 통해 이동된다. 이중 벽들은 안전한 처리, 예컨대 어닐링을 보장하는 것을 도울 수 있다.
기판(314)은 처리를 위해 제1 챔버(302) 내로 이송된다. 제1 챔버(302) 내로 기판(314)을 이송하기 위해, 제어기는 밸브 조립체(316)를 동작시킬 수 있는데, 예컨대, 밸브 조립체(316)를 개방하여 개구를 제공할 수 있으며, 그 개구를 통해 기판(314)이 제1 챔버(302) 내로 이송될 수 있다. 제어기는, 기판(314)을 제1 챔버(302) 내로 운반하여 페디스털(304) 상에 기판(314)을 배치하도록 이송 로봇을 동작시킬 수 있다.
기판(314)이 제1 챔버(302) 내로 이송된 후에, 제어기는 개구를 폐쇄하도록, 예컨대, 밸브 조립체(316)를 폐쇄하도록 밸브 조립체(316)를 동작시킬 수 있으며, 그에 의해, 제1 및 제2 챔버들(302, 306)이 서로 격리된다. 밸브 조립체(316)가 폐쇄된 채로, 제1 챔버(302) 및 제2 챔버(306) 내의 압력들은 상이한 값들로 설정될 수 있다. 제어기는, 제1 챔버(302)를 가압하고 기판(314) 상에 물질 층을 형성하기 위해 제1 챔버(302) 내로 처리 가스를 도입하도록 가스 전달 시스템(307)을 동작시킬 수 있다. 처리 가스의 도입은, 제1 챔버(302) 내의 압력을 예컨대 10 기압 이상으로 증가시킬 수 있다.
일부 구현들에서, 처리 가스는, 예컨대, 층을 개질하거나 새로운 층을 형성하기 위해 물질과 반응함으로써, 물질을 어닐링하는 것에 관해 기판 상의 물질과 상호작용한다. 대안적으로, 처리 가스는 기판(314) 상에 증착될 물질을 포함할 수 있고, 제1 챔버(302) 내의 적절한 온도 및 압력 조건들은 물질의 증착이 발생하게 할 수 있다. 기판의 처리 동안, 제어기는, 기판(314)에 열을 부가하여 기판(314) 상의 물질 층의 증착을 용이하게 하도록 하나 이상의 가열 요소(318)를 동작시킬 수 있다.
기판(314) 상의 물질 층의 개질 또는 형성이 완료될 때, 기판(314)은 이송 로봇을 사용하여 제1 챔버(302)로부터 제거될 수 있고, 필요한 경우, 후속 공정 챔버로 이송될 수 있다. 대안적으로, 기판(314)은 로드 록 챔버, 예컨대 로드 록 챔버들(128) 중 하나 내로 이송된다. 제1 챔버(302) 밖으로의 기판(314)의 이송을 준비하기 위해, 제어기는, 밸브 조립체(316)가 개방되기 전에 제1 챔버(302)를 감압하도록 가스 전달 시스템(307)의 배기 시스템을 동작시킬 수 있다. 특히, 기판(314)이 제1 챔버(202) 밖으로 이송되기 전에, 제1 챔버(202) 내의 압력을 감소시키기 위해 처리 가스가 제1 챔버(302)로부터 배기된다. 압력은, 제1 챔버(302)와 제2 챔버(306) 간의 압력차가 최소화될 수 있도록 거의 진공의 압력으로 감소될 수 있다.
기판(314)이 제1 챔버(302) 밖으로 이송될 수 있게 하기 위해, 제어기는 밸브 조립체(316)를 개방할 수 있다. 개방된 밸브 조립체(316)는 개구를 제공하며, 그 개구를 통해 기판(314)이 이동되어 제2 챔버(306) 내로 이송된다. 특히, 개방된 밸브 조립체(316)는, 기판(314)이 제2 챔버(306) 내로, 예컨대, 제2 챔버(306)의 저압 환경 내로 직접 이송될 수 있게 한다. 이어서, 제어기는, 기판(314)을 처리 플랫폼, 예컨대 처리 플랫폼(100)의 다른 부분으로 이송하도록 이송 로봇을 동작시킬 수 있다. 예컨대, 기판(314)은 먼저 제2 챔버(306) 내로 직접 이송되고, 그런 다음, 추가적인 처리를 위한 적절한 처리 챔버로 또는 처리 플랫폼으로부터 기판을 제거하기 위해 로드 록 챔버로 이송된다.
도 4를 참조하면, 다른 실시예에서, 고압 처리 시스템(400)은, 제1 챔버(402), 페디스털(404), 제2 챔버(406), 및 제어기(도시되지 않음)를 포함한다. 고압 처리 시스템(400)은 도 3과 관련하여 설명된 고압 처리 시스템(300)과 유사하며, 달리 특정되지 않는 한, 다양한 옵션들 및 구현들이 또한 이러한 실시예에 적용가능하다.
예컨대, 고압 처리 시스템(400)의 가스 전달 시스템 및 진공 처리 시스템은, 고압 처리 시스템(400)을 사용하여 처리되는 기판(414)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 동작된다. 제2 챔버(406)는 내측 벽들(420)과 외측 벽들(424) 사이의 용적에 의해 정의될 수 있다. 게다가, 기판(414)은 또한 제1 챔버(402) 내에서의 처리 동안 페디스털(404) 상에 지지가능하다. 또한, 기판은 페디스털(404) 상에 직접 놓일 수 있거나, 페디스털을 통해 연장되는 리프트 핀들(430) 상에 놓일 수 있다.
고압 처리 시스템(400)은 몇몇 측면들에서 도 3의 고압 처리 시스템(300)과 상이하다. 첫째, 제1 챔버(402)를 정의하는 내측 벽들(420)이 제1 챔버(402)를 정의하는 베이스(422)에 대해 이동가능하지 않다. 따라서, 페디스털(404)은 내측 벽들(420) 및 베이스(422)에 대해 고정된다. 일부 예들에서, 페디스털(404)은 제1 챔버(402)를 정의하는 베이스(422)에 고정된다.
도 3의 실시예의 하나 이상의 가열 요소(318)에 대한 경우에서와 같이 제1 챔버(402)의 벽들(420)에 정렬되는 것이 아니라, 도 4에 도시된 실시예의 하나 이상의 가열 요소(418)는 페디스털(404) 내에 배열된다. 따라서, 기판(414)은 페디스털(404)과의 접촉을 통해 가열된다.
고압 처리 시스템(400)은, 도 3의 밸브 조립체(316)와 유사하게, 제1 챔버(402)를 제2 챔버(406)로부터 격리시키는, 제1 챔버(402)와 제2 챔버(406) 사이의 밸브 조립체(416)를 더 포함한다. 그러나, 밸브 조립체(316)와 대조적으로, 밸브 조립체(416)는 제1 챔버(402)를 정의하는 벽들(420) 및 베이스(422)에 의해 형성되기 보다는, 제1 챔버(402)의 내측 벽들(420) 및 베이스(422)에 대해 이동가능한 암(424)에 의해 형성된다. 암(424)은 제1 챔버(402)의 외측 벽들(420) 및 베이스(422)에 대해 이동가능할 수 있다.
특히, 밸브 조립체(416)는 제1 챔버(402)와 제2 챔버(406) 사이에 슬릿 밸브(423)를 포함한다. 슬릿 밸브(423)는 슬릿(423a) 및 암(424)을 포함한다. 슬릿(423a)은 제1 챔버(402)의 내측 벽들(420) 중 하나를 통해 연장된다. 암(424)의 근단부(424a)는 제1 챔버(402) 외부에 위치되지만, 암(424)의 원단부(424b)는 제1 챔버(402) 내에 위치된다. 암(425)의 근단부(425a)는 제2 챔버(406) 내에 위치될 수 있고, 제2 챔버(406) 내에 위치된 액추에이터에 의해 구동될 수 있다. 대안적으로, 암(425)의 근단부(425a)는 제2 챔버(406) 외부에 위치되고, 그에 따라, 또한 제2 챔버(406) 외부에 위치되는 액추에이터(428)에 의해 구동된다.
암(425)은 슬릿(423a)을 통해 연장되고, 암(425)이 벽들(420)과 자신이 밀봉을 형성하는 위치로 이동될 수 있도록 벽들(420)에 대해 이동가능하다. 액추에이터(428)는 암(425)의 근단부(425a)에 결합되고, 벽들(420)에 대해 암(425)의 원단부(425b)를 구동한다. 암(425)은 또한 슬릿(423a)을 덮거나 덮지 않도록 수직으로 이동가능하다. 특히, 암(425)의 근단부(425a)는, 내측 벽(420)의 인접한 내측 표면과 실질적으로 평행하게 연장되는 플랜지이거나 이를 포함할 수 있다. 암(425)은 또한, 암(425)의 원단부(425b)가 벽(420)에 맞물리거나 맞물림해제될 수 있도록 측방향으로 이동가능하고 구동된다.
암(425)은 또한 외측 벽(424)의 애퍼쳐(426)를 통해 연장될 수 있다.
밸브 조립체(316)와 마찬가지로, 밸브 조립체(416)는 개방 위치와 폐쇄 위치 사이에서 이동가능하다. 밸브 조립체(416)가 폐쇄 위치에 있을 때, 암(425)의 원단부(425b)는 슬릿(426)을 덮고 벽들(420) 중 하나와 접촉하며, 그에 의해, 제1 챔버(402)를 제2 챔버(406)로부터 격리시키기 위한 밀봉이 형성된다. 특히, 암(425)의 원단부(425b), 예컨대 플랜지는, 제1 챔버(402)를 정의하는 벽(420)의 내측 표면과 접촉한다.
밸브 조립체(416)가 개방 위치에 있을 때, 암(425)의 원단부(425b)는 벽(420), 예컨대 벽(420)의 내측 표면으로부터 측방향으로 이격된다. 게다가, 암(425)의 원단부(425b)는 슬릿(426)이 덮이지 않도록 수직으로 위치된다. 따라서, 슬릿(426)은, 제1 챔버(402)와 제2 챔버(406) 사이의 유체 연통을 가능하게 하고, 또한, 예컨대 위에 논의된 바와 같은 로봇에 의해 제1 챔버(402) 안팎으로 기판(414)이 이동될 수 있게 하는 개구를 제공한다.
제어기는, 제1 챔버(402) 안팎으로 기판(414)을 이송하고 기판(414) 상에 물질 층을 형성하기 위해, 고압 처리 시스템(300)의 제어기와 관련하여 설명된 공정과 유사한 방식으로 고압 처리 시스템(400)을 동작시킬 수 있다. 이러한 공정에서, 밸브 조립체(416)를 개방 및 폐쇄하기 위해, 제어기는 액추에이터(428)를 동작시켜 암(425)을 구동할 수 있다.
도 4에 도시된 구성의 이점은, 제1 챔버(402) 내의 압력이 암(425)의 원단부(425)를 내측 벽(420)의 내측 표면에 맞닿게 힘을 가하는 것을 돕는다는 점이다. 결과적으로, 도 3에 도시된 구성과 대조적으로, 액추에이터는 덜 강력할 수 있다.
도 5를 참조하면, 또 다른 실시예에서, 고압 처리 시스템(500)은, 제1 챔버(502), 페디스털(504), 제2 챔버(506), 및 제어기(도시되지 않음)를 포함한다. 고압 처리 시스템(500)은 도 4와 관련하여 설명된 고압 처리 시스템(400)과 유사하며, 달리 특정되지 않는 한, 다양한 옵션들 및 구현들이 또한 이러한 실시예에 적용가능하다.
예컨대, 고압 처리 시스템(500)의 가스 전달 시스템 및 진공 처리 시스템은, 고압 처리 시스템(500)을 사용하여 처리되는 기판(도시되지 않음)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 동작된다. 게다가, 기판은 또한 제1 챔버(502) 내에서의 처리 동안 페디스털(504) 또는 리프트 핀들 상에 지지가능하다.
고압 처리 시스템(500)은, 페디스털(504)이 제1 챔버(502)를 정의하는 베이스(522)가 아니라 제1 챔버(502)를 정의하는 천장(521)에 장착된다는 점에서 도 4의 고압 처리 시스템(400)과 상이하다. 페디스털(504)과 마찬가지로, 페디스털(504)은 벽들(520), 천장(521), 및 베이스(522)에 대해 고정된다. 게다가, 고압 처리 시스템(500)의 하나 이상의 가열 요소(518)가 페디스털(504) 내에 배열된다. 기판이 페디스털(504) 상에 지지되도록 페디스털(504) 상에 기판을 위치시키기 위해, 기판은 페디스털(504)의 플레이트들 사이에 삽입된다. 하나 이상의 가열 요소(518)는, 기판이 페디스털(504)의 플레이트들에 의해 정의된 슬롯 내에 삽입될 때 하나 이상의 가열 요소(518)가 기판에 균일하게 열을 가할 수 있도록 플레이트들에 대해 배열된다.
도 5의 구성의 이점은, 내측 챔버(502)가 유지보수 또는 수리를 위해 더 용이하게 접근된다는 점이다. 특히, 페디스털(504)에 접근하기 위해, 외측 벽(526)의 최상부 덮개(528)가 제거될 수 있다. 이어서, 천장(521) 및 페디스털(504)이 유닛으로서 제거될 수 있다.
도 6을 참조하면, 또 다른 실시예에서, 고압 처리 시스템(600)은, 제1 챔버(602), 페디스털(604), 제2 챔버(606), 및 제어기(도시되지 않음)를 포함한다. 고압 처리 시스템(600)은 도 4와 관련하여 설명된 고압 처리 시스템(400)과 유사하며, 달리 특정되지 않는 한, 다양한 옵션들 및 구현들이 또한 이러한 실시예에 적용가능하다.
예컨대, 고압 처리 시스템(600)의 가스 전달 시스템 및 진공 처리 시스템은, 고압 처리 시스템(600)을 사용하여 처리되는 기판(614)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 동작된다. 게다가, 기판(614)은 또한 제1 챔버(602) 내에서의 처리 동안 페디스털(604) 상에 지지가능하다.
고압 처리 시스템(600)은, 고압 처리 시스템(400)의 밸브 조립체(616)의 암(625)이 내측 벽(620)의 애퍼쳐(623a)를 덮기 위해 내측 벽(620)의 내측 표면이 아니라 제1 챔버(602)를 정의하는 내측 벽(620)의 외측 표면과 접촉한다는 점에서 도 4의 고압 처리 시스템(400)과 상이하다. 밸브 조립체(416)와 마찬가지로, 밸브 조립체(616)는 제1 챔버(602)를 제2 챔버(606)로부터 격리시키도록 동작한다. 밸브 조립체(616)는 제1 챔버(602)와 제2 챔버(606) 사이에 위치될 수 있다.
밸브 조립체(616)는 제1 챔버(602)와 제2 챔버(606) 사이에 슬릿 밸브(623)를 포함한다. 슬릿 밸브(623)는 애퍼쳐(623a), 예컨대 슬릿, 및 암(625)을 포함한다. 슬릿(623a)은 제1 챔버(602)를 제공하는 내측 벽들(620) 중 하나를 통해 연장된다. 암(625)의 근단부(625a)는 제1 챔버(602) 외부에 위치된다. 암(425)에 대한 경우에서와 같이 제1 챔버(602) 내에 위치되는 것이 아니라, 암(625)의 원단부(625b)는 제1 챔버(602) 외부에 위치된다. 따라서, 암(625)은 슬릿(626)을 통해 연장되지 않는다.
암(625)은, 암(625)이 벽들(620)과 자신이 밀봉을 형성하는 위치로 이동될 수 있도록 벽들(620)에 대해 이동가능하다. 예컨대, 고압 처리 시스템(600)은 암(625)을 구동하도록 동작가능한 액추에이터(628)를 포함한다. 액추에이터(628)는 암(625)의 근단부(625a)에 결합되고, 벽들(620)에 대해 암(625)의 원단부(625b)를 이동시키도록 구동된다.
밸브 조립체(316)와 마찬가지로, 밸브 조립체(616)는 개방 위치와 폐쇄 위치 사이에서 이동가능하다. 예컨대, 밸브 조립체(616)가 폐쇄 위치에 있을 때, 암(625)의 원단부(625b)는 벽들(620) 중 하나와 접촉하고, 그에 의해, 제1 챔버(602) 내의 고압을 제2 챔버(606) 내의 저압으로부터 격리시키기 위한 밀봉이 형성된다. 특히, 암(625)의 원단부(625b)는 제1 챔버(602)를 정의하는 벽(620)의 외측 표면과 접촉하고, 슬릿(626)을 덮도록 위치된다.
밸브 조립체(616)가 개방 위치에 있을 때, 암(625)의 원단부(625b)는 벽(620), 예컨대 벽(620)의 내측 표면과 접촉하지 않는다. 따라서, 애퍼쳐(626)는, 제1 챔버(602)와 제2 챔버(606) 사이의 유체 연통을 가능하게 하고, 또한, 제1 챔버(602) 안팎으로 기판(614)이 이동될 수 있게 하는 개구를 제공한다.
제어기는, 기판(614)을 이송하고 기판(614) 상에 물질 층을 형성하기 위해, 고압 처리 시스템(300)의 제어기와 관련하여 설명된 공정과 유사한 방식으로 고압 처리 시스템(600)을 동작시킬 수 있다. 이러한 공정에서, 밸브 조립체(616)를 개방 및 폐쇄하기 위해, 제어기는 액추에이터(628)를 동작시켜 암(625)을 구동할 수 있다.
도 6에 도시된 구성의 이점은, 애퍼쳐(626)가, 예컨대 도 3에 도시된 구성에서의 베이스(322)와 비교하여 상대적으로 작다는 점이다. 그러므로, 제1 챔버(602) 내에 고압이 설정될 때, 밸브를 폐쇄 위치로 유지하는 데 더 적은 힘이 요구된다. 결과적으로, 도 3에 도시된 구성과 대조적으로, 액추에이터는 덜 강력할 수 있다.
도 7은 특정 실시예들에 따른 가열 요소들을 갖는 페디스털(700)을 예시한다. 페디스털(700)은, 예컨대, 페디스털들(404, 504, 604) 중 하나에 대응할 수 있다. 페디스털(700)은, 플레이트들(708, 710)에 정의된 개구(706)에 적어도 부분적으로 배치되는 리프트 핀(704)을 갖는 리프트 핀 조립체(702)를 포함한다. 리프트 핀(704)은, 이송 로봇, 예컨대 이송 로봇들(106, 108) 중 하나가 기판에 접근하여, 챔버, 예컨대 제1 챔버(202, 302, 402, 502, 또는 602) 밖으로 기판을 이동시킬 수 있도록, 페디스털(700)로부터 기판을 들어올리는 데 사용된다. 리프트 핀(704)은, 리프트 핀(704)이 페디스털(700) 내에 함몰되는 제1 위치로부터 리프트 핀(704)이 페디스털(700)로부터 돌출되는 제2 위치로 액추에이터(705)에 의해 구동된다. 제2 위치에서, 리프트 핀(704)은 페디스털 위에서 페디스털(700) 상에 기판을 지지하고, 그에 의해, 이송 로봇이 기판을 파지하기 위한 페디스털(700) 위의 충분한 높이가 제공된다.
제어기들 및 컴퓨팅 디바이스들이 이러한 동작들 및 본원에서 설명된 다른 공정들 및 동작들을 구현할 수 있다. 제어기, 예컨대, 제어기(122, 210) 또는 고압 처리 시스템(300, 400, 500, 또는 600)의 제어기들 중 하나는, 본원에서 설명된 고압 시스템들의 다양한 구성요소들, 시스템들, 및 하위 시스템들에 연결되는 하나 이상의 처리 디바이스를 포함할 수 있다.
본원에서 설명된 시스템들의 제어기 및 다른 컴퓨팅 디바이스들은, 디지털 전자 회로로 또는 컴퓨터 소프트웨어, 펌웨어, 또는 하드웨어로 구현될 수 있다. 예컨대, 제어기는 컴퓨터 프로그램 제품에, 예컨대 비-일시적인 기계 판독가능 저장 매체에 저장되는 바와 같은 컴퓨터 프로그램을 실행하기 위한 프로세서를 포함할 수 있다. 그러한 컴퓨터 프로그램(프로그램, 소프트웨어, 소프트웨어 애플리케이션, 또는 코드로 또한 알려져 있음)은, 컴파일 또는 해석되는 언어들을 포함하는 임의의 형태의 프로그래밍 언어로 작성될 수 있고, 이는 독립형 프로그램 또는 모듈, 컴포넌트, 서브루틴 또는 컴퓨팅 환경에서 사용하기에 적합한 다른 유닛을 포함하는 임의의 형태로 배포될 수 있다.
본 문서가 많은 특정한 구현 세부사항들을 포함하지만, 이들은 임의의 발명들 또는 청구될 수도 있는 것의 범위에 대한 제한들로서 해석되어서는 안되며, 오히려, 특정 발명들의 특정 실시예들에 특정한 특징들의 설명들로서 해석되어야 한다. 별개의 실시예들의 맥락에서 본 문서에 설명되는 특정 특징들은 또한, 단일 실시예로 조합되어 구현될 수 있다. 역으로, 단일 실시예의 맥락에서 설명되는 다양한 특징들은 또한, 다수의 실시예들에서 별개로, 또는 임의의 적합한 하위 조합으로 구현될 수 있다. 더욱이, 특징들이 특정 조합들로 작용하는 것으로 위에서 설명되고 심지어 처음에 이와 같이 청구될 수 있지만, 일부 경우들에서, 청구되는 조합으로부터의 하나 이상의 특징이 그 조합으로부터 제거될 수 있고, 청구된 조합은 하위 조합 또는 하위 조합의 변형과 관련될 수 있다.
다수의 구현들이 설명되었다. 그럼에도 불구하고, 다양한 수정들이 이루어질 수 있다는 것이 이해될 것이다. 예컨대, 다음과 같다:
● 처리 시스템은 금속 어닐링, 예컨대, 구리 또는 코발트의 어닐링에 사용될 수 있다. 그러한 어닐링 공정의 경우, 처리 가스는 수소 가스(H2) 또는 중수소 가스(D2)일 수 있다.
● 처리 시스템은 이산화규소(SiO2)의 어닐링에 사용될 수 있다. 그러한 어닐링 공정의 경우, 처리 가스는 수증기 또는 증기일 수 있다.
● 처리 시스템은 규소-게르마늄 물질의 어닐링에 사용될 수 있다. 그러한 어닐링 공정의 경우, 처리 가스는 중수소 가스(D2)일 수 있다.
● 코발트 또는 니켈 층 막으로부터의 금속 실리사이드 층의 형성이 위에 설명되었지만, 일부 구현들에서, 다른 물질들이 사용될 수 있다. 예컨대, 다른 물질들은, 금속 실리사이드 물질을 형성하기 위한, 티타늄, 탄탈럼, 텅스텐, 몰리브데넘, 백금, 철, 니오븀, 팔라듐, 및 이들의 조합들, 및 니켈 코발트 합금들, 코발트 텅스텐 합금들, 코발트 니켈 텅스텐 합금들, 도핑된 코발트 및 니켈 합금들, 또는 니켈 철 합금들을 포함하는 다른 합금들을 포함할 수 있다.
● 층을 형성하기 위한 시스템의 맥락에서 위에서 설명되었지만, 제공되는 가스들에 따라, 고압 챔버는 식각 시스템에 사용될 수 있다. 대안적으로, 고압 챔버는 불활성 가스로 채워질 수 있고, 고압 챔버는 순수하게 고압에서의 열 처리에 사용될 수 있다.
● 본원에서 설명된 처리 플랫폼들은 다른 유형들의 처리 챔버들을 포함할 수 있다. 예컨대, 처리 플랫폼은 기판의 표면 상에 패턴들을 식각하기 위한 식각 챔버를 포함할 수 있다.
● 처리 플랫폼의 상이한 챔버들 각각은, 거의 진공 내지 10 기압 초과의 범위의 다양한 압력 환경들을 가질 수 있다. 챔버들 사이의 격리 밸브들, 예컨대 진공 밸브들은, 이러한 다양한 압력 환경들이 각각의 챔버 내에서 유지될 수 있도록 서로로부터 압력들을 격리시킬 수 있다.
● 일부 상황들, 예컨대, 분위기로부터 격리될 필요가 없는 막이 형성되는 경우에, 도 2 내지 도 6에 예시된 고압 처리 시스템은 다중 챔버 시스템에 통합되는 것이 아니라 독립형 시스템일 수 있다. 이러한 경우에, 저압 챔버는, 예컨대 누설의 경우에서, 고압 챔버를 외부 환경으로부터 격리시키는 데 여전히 유용할 것이다.
따라서, 다른 구현들이 청구항들의 범위 내에 있다.

Claims (24)

  1. 기판 상의 층을 처리하기 위한 고압 처리 시스템으로서,
    측벽을 포함하는 제1 챔버;
    상기 기판을 지지하기 위한 페디스털 ― 상기 페디스털은 상기 제1 챔버 내에 위치됨 ―;
    상기 제1 챔버를 둘러싸는 제2 챔버;
    상기 제2 챔버 내의 압력을 거의 진공으로 낮추도록 구성되는 진공 처리 시스템;
    상기 제1 챔버 내의 압력을 상기 제2 챔버 내의 압력으로부터 격리시키기 위한, 상기 제1 챔버와 상기 제2 챔버 사이의 밸브 조립체;
    상기 제1 챔버 내로 처리 가스를 도입하고, 상기 처리 가스가 상기 제1 챔버 내에 있는 동안 그리고 상기 제1 챔버가 상기 제2 챔버로부터 격리되어 있는 동안 상기 제1 챔버 내의 압력을 적어도 10 기압으로 증가시키도록 구성되는 가스 전달 시스템; 및
    제어기를 포함하며,
    상기 밸브 조립체는:
    상기 측벽을 통과하는 슬릿을 포함하는 슬릿 밸브; 및
    제1 위치 및 제2 위치 사이에서 이동되도록 구성된 암 - 상기 암은 상기 제1 위치에서 상기 제1 챔버와 상기 제2 챔버 사이에 밀봉을 형성하여 상기 제1 챔버 내의 압력을 상기 제2 챔버 내의 압력으로부터 격리하도록 상기 슬릿을 덮고, 상기 암은 상기 제2 위치에서 상기 슬릿을 덮지 않음 -;
    를 포함하고,
    상기 제어기는,
    상기 기판 상의 층을 처리하기 위해 상기 제1 챔버 내로 상기 처리 가스를 도입하도록 상기 가스 전달 시스템을 동작시키고,
    상기 기판이 상기 제1 챔버로부터 상기 제2 챔버로 이송될 수 있게 하기 위해 상기 밸브 조립체를 개방하도록 구성되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  2. 제1항에 있어서,
    상기 페디스털은 상기 제1 챔버를 정의하는 벽들에 고정되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  3. 삭제
  4. 제1항에 있어서,
    상기 슬릿이 덮이지 않는 상기 제2 위치에 상기 암이 있을 때, 상기 기판은 상기 슬릿 밸브를 통해 상기 제1 챔버로부터 상기 제2 챔버로 이송가능한, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  5. 제4항에 있어서,
    상기 암은, 상기 제1 챔버와 상기 제2 챔버 사이에 밀봉을 형성하기 위해, 상기 제1 위치에서, 상기 제1 챔버를 정의하는 벽의 내측 표면에 맞물리게 구성되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  6. 제4항에 있어서,
    상기 슬릿에 대해 상기 암을 이동시키기 위한 액추에이터를 더 포함하며, 상기 액추에이터는 상기 제2 챔버 외부에서 상기 암의 근단부에 결합되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  7. 제4항에 있어서,
    상기 슬릿에 대해 상기 암을 이동시키기 위한 액추에이터를 더 포함하며, 상기 액추에이터는 상기 제2 챔버 내에서 상기 암의 근단부에 결합되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  8. 제4항에 있어서,
    상기 암은, 상기 제1 챔버와 상기 제2 챔버 사이에 밀봉을 형성하기 위해, 상기 제1 위치에서, 상기 제1 챔버의 외측 표면에 맞물리게 구성되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  9. 삭제
  10. 제1항에 있어서,
    상기 가스 전달 시스템은 상기 제1 챔버 내의 가스를 배기함으로써 상기 제1 챔버를 감압시키기 위한 배기 시스템을 포함하고, 상기 제어기는 상기 밸브 조립체가 개방되기 전에 상기 제1 챔버를 감압시키도록 상기 배기 시스템을 동작시키게 구성되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  11. 제1항에 있어서,
    상기 제2 챔버 내에 압력을 생성하도록 구성되는 진공 처리 시스템을 더 포함하며, 상기 생성된 압력은 1 기압 미만인, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  12. 제1항에 있어서,
    상기 기판이 상기 페디스털 상에 지지될 때 상기 기판을 어닐링하기 위해 상기 기판에 열을 가하도록 구성되는 가열 요소를 더 포함하는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  13. 제12항에 있어서,
    상기 가열 요소는 상기 페디스털 내에 위치되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  14. 제12항에 있어서,
    상기 가열 요소는 상기 제1 챔버를 정의하는 벽들 내에 위치되는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  15. 제1항에 있어서,
    상기 밸브 조립체를 통해 상기 제1 챔버로부터 상기 제2 챔버로 상기 기판을 이송하도록 구성되는 로봇 암을 더 포함하는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  16. 제1항에 있어서,
    상기 페디스털로부터 상기 기판을 들어올리기 위한 리프트 핀 조립체를 더 포함하는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  17. 제1항에 있어서,
    상기 페디스털은 상기 제1 챔버의 천장에 매달리는, 기판 상의 층을 처리하기 위한 고압 처리 시스템.
  18. 반도체 제조 장치로서,
    내부에 로봇이 위치되는 중앙 진공 챔버;
    상기 중앙 진공 챔버에 결합되는 팩토리 인터페이스 모듈;
    제1 진공 밸브에 의해 상기 중앙 진공 챔버에 결합되는 저압 처리 챔버; 및
    제1항에 따른 고압 처리 시스템을 포함하며, 제2 챔버가 제2 진공 밸브에 의해 상기 중앙 진공 챔버에 결합되는, 반도체 제조 장치.
  19. 반도체 처리 방법으로서,
    기판 상에 층을 형성하고 상기 층의 형성 동안 제1 챔버 내에 적어도 10 기압의 압력을 생성하도록 상기 제1 챔버 내로 가스를 도입하는 단계;
    밸브 조립체의 암을, 상기 밸브 조립체의 슬릿 밸브의 슬릿을 덮지 않도록 하는 제1 위치로 이동시킴으로써 상기 밸브 조립체를 개방하는 단계 - 상기 밸브 조립체는 상기 제1 챔버 및 상기 제1 챔버를 둘러싸는 제2 챔버 사이에 있으며, 상기 슬릿은 상기 제1 챔버의 측벽에 있음 -;
    상기 제1 챔버로부터 제2 챔버로 직접 상기 기판을 이송하는 단계 - 상기 제2 챔버는 1 기압 미만의 압력을 가짐 -; 및
    상기 암을, 상기 제1 챔버와 상기 제2 챔버 사이에 밀봉을 형성하도록 상기 슬릿을 덮는 제2 위치로 이동하는 단계
    를 포함하는 반도체 처리 방법.
  20. 제19항에 있어서,
    처리 가스를 도입한 후 상기 기판을 이송하는 단계 전에, 상기 제1 챔버 내의 압력을 감소시키기 위해 상기 제1 챔버로부터 상기 처리 가스를 배기하는 단계를 더 포함하는, 반도체 처리 방법.
  21. 제19항에 있어서,
    상기 기판은 상기 슬릿 밸브를 통해 상기 제2 챔버로 이송되는, 반도체 처리 방법.
  22. 삭제
  23. 제19항에 있어서,
    처리 가스가 도입된 후에 상기 기판을 어닐링하기 위해 상기 기판에 열을 가하는 단계를 더 포함하는, 반도체 처리 방법.
  24. 제19항에 있어서,
    상기 기판은 규소 물질을 포함하는, 반도체 처리 방법.
KR1020197029776A 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들 KR102310823B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217031754A KR102449794B1 (ko) 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762470057P 2017-03-10 2017-03-10
US62/470,057 2017-03-10
PCT/US2018/021715 WO2018165533A1 (en) 2017-03-10 2018-03-09 High pressure wafer processing systems and related methods technical field

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217031754A Division KR102449794B1 (ko) 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들

Publications (2)

Publication Number Publication Date
KR20190118685A KR20190118685A (ko) 2019-10-18
KR102310823B1 true KR102310823B1 (ko) 2021-10-12

Family

ID=63445057

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020217031754A KR102449794B1 (ko) 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들
KR1020227033661A KR102509015B1 (ko) 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들
KR1020197029776A KR102310823B1 (ko) 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020217031754A KR102449794B1 (ko) 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들
KR1020227033661A KR102509015B1 (ko) 2017-03-10 2018-03-09 고압 웨이퍼 처리 시스템들 및 관련된 방법들

Country Status (7)

Country Link
US (3) US10224224B2 (ko)
EP (1) EP3593378B1 (ko)
JP (3) JP6983900B2 (ko)
KR (3) KR102449794B1 (ko)
CN (2) CN110603631B (ko)
TW (2) TW202345268A (ko)
WO (1) WO2018165533A1 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6832154B2 (ja) * 2016-12-27 2021-02-24 東京エレクトロン株式会社 パージ方法
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2020017645A (ja) * 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11380564B2 (en) * 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
US11885018B2 (en) 2018-09-24 2024-01-30 Lehigh University High pressure spatial chemical vapor deposition system and related process
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) * 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11948828B2 (en) 2020-01-16 2024-04-02 Applied Materials, Inc. Pin-less substrate transfer apparatus and method for a processing chamber
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
USD941787S1 (en) 2020-03-03 2022-01-25 Applied Materials, Inc. Substrate transfer blade
US20240012393A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Sustainability monitoring platform with sensor support
KR102606703B1 (ko) * 2022-11-04 2023-11-29 주식회사 에이치피에스피 고압 열처리 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003166065A (ja) * 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
KR100422433B1 (ko) * 1995-10-06 2004-07-12 어플라이드 머티어리얼스, 인코포레이티드 고압압출을통한반도체기판의금속경로를제조하기위한장치및방법

Family Cites Families (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
JPS61291032A (ja) * 1985-06-17 1986-12-20 Fujitsu Ltd 真空装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) * 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5624590A (en) * 1993-04-02 1997-04-29 Lucent Technologies, Inc. Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies and an apparatus for practicing this technique
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) * 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
JP3388435B2 (ja) * 1999-12-28 2003-03-24 株式会社ブイテックス ゲートバルブ
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) * 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030026677A1 (en) * 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
KR20050019129A (ko) * 2002-06-13 2005-02-28 비오씨 에드워즈 인코포레이티드 기판 처리 장치 및 기판 처리 방법
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
CN100408902C (zh) * 2003-05-13 2008-08-06 应用材料股份有限公司 密封一处理室一开口的方法与装置
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
KR20050062751A (ko) * 2003-12-22 2005-06-27 어댑티브프라즈마테크놀로지 주식회사 이중 도어 게이트 밸브를 가지는 챔버 장비
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
JP2006120713A (ja) * 2004-10-19 2006-05-11 Tokyo Electron Ltd 成膜方法
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
EP1959299B1 (en) 2005-06-10 2012-12-26 Obducat AB Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
KR101101757B1 (ko) * 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR20070068596A (ko) * 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
DE102007030006B4 (de) * 2006-07-19 2009-12-17 Vat Holding Ag Vakuumventil
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US8297591B2 (en) * 2008-08-29 2012-10-30 Applied Materials, Inc. Slit valve control
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
KR101027325B1 (ko) * 2008-11-24 2011-04-06 주식회사 아토 기판처리장치
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
FR2940322B1 (fr) * 2008-12-19 2011-02-11 Alcatel Lucent Procede de descente en pression dans un sas de chargement et de dechargement et equipement associe
JP5208800B2 (ja) 2009-02-17 2013-06-12 東京エレクトロン株式会社 基板処理システム及び基板搬送方法
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120106766A (ko) 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
JP5506461B2 (ja) * 2010-03-05 2014-05-28 東京エレクトロン株式会社 超臨界処理装置及び超臨界処理方法
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) * 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
CN105453230B (zh) 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
KR20150062545A (ko) * 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US10184179B2 (en) * 2014-01-21 2019-01-22 Applied Materials, Inc. Atomic layer deposition processing chamber permitting low-pressure tool replacement
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
JP6582676B2 (ja) * 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10083834B2 (en) 2016-09-30 2018-09-25 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100422433B1 (ko) * 1995-10-06 2004-07-12 어플라이드 머티어리얼스, 인코포레이티드 고압압출을통한반도체기판의금속경로를제조하기위한장치및방법
JP2003166065A (ja) * 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置

Also Published As

Publication number Publication date
US10529603B2 (en) 2020-01-07
US20180258533A1 (en) 2018-09-13
JP7253015B2 (ja) 2023-04-05
CN116936405A (zh) 2023-10-24
KR102449794B1 (ko) 2022-10-04
TW201841290A (zh) 2018-11-16
JP2023089011A (ja) 2023-06-27
EP3593378B1 (en) 2023-04-26
KR20220137169A (ko) 2022-10-11
EP3593378A4 (en) 2020-12-23
CN110603631B (zh) 2023-07-11
KR20210123423A (ko) 2021-10-13
KR20190118685A (ko) 2019-10-18
EP3593378A1 (en) 2020-01-15
US20180261480A1 (en) 2018-09-13
JP2020510315A (ja) 2020-04-02
US10224224B2 (en) 2019-03-05
TW202345268A (zh) 2023-11-16
TWI826370B (zh) 2023-12-21
JP6983900B2 (ja) 2021-12-17
WO2018165533A1 (en) 2018-09-13
US20190198367A1 (en) 2019-06-27
CN110603631A (zh) 2019-12-20
JP2022020619A (ja) 2022-02-01
KR102509015B1 (ko) 2023-03-14

Similar Documents

Publication Publication Date Title
KR102310823B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들
KR102310838B1 (ko) 고압 처리 챔버를 위한 가스 전달 시스템
CN110692121B (zh) 通过高压处理的钨脱氟
KR20200088381A (ko) 고압 프로세싱 챔버를 위한 가스 전달 시스템
TW202412157A (zh) 高壓晶圓處理系統以及相關方法
US20220230887A1 (en) Methods and apparatus for processing a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right