TW201841290A - 高壓晶圓處理系統以及相關方法 - Google Patents
高壓晶圓處理系統以及相關方法 Download PDFInfo
- Publication number
- TW201841290A TW201841290A TW107108016A TW107108016A TW201841290A TW 201841290 A TW201841290 A TW 201841290A TW 107108016 A TW107108016 A TW 107108016A TW 107108016 A TW107108016 A TW 107108016A TW 201841290 A TW201841290 A TW 201841290A
- Authority
- TW
- Taiwan
- Prior art keywords
- chamber
- substrate
- pressure
- processing
- arm
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 139
- 238000000034 method Methods 0.000 title claims description 43
- 239000000758 substrate Substances 0.000 claims abstract description 165
- 238000009931 pascalization Methods 0.000 claims abstract description 56
- 238000012546 transfer Methods 0.000 claims description 71
- 230000008569 process Effects 0.000 claims description 34
- 238000010438 heat treatment Methods 0.000 claims description 31
- 239000004065 semiconductor Substances 0.000 claims description 4
- 230000015572 biosynthetic process Effects 0.000 claims description 3
- 238000004519 manufacturing process Methods 0.000 claims description 3
- 239000002210 silicon-based material Substances 0.000 claims description 3
- 238000007599 discharging Methods 0.000 claims 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 2
- 239000007789 gas Substances 0.000 description 50
- 239000000463 material Substances 0.000 description 39
- 238000000137 annealing Methods 0.000 description 27
- 235000012431 wafers Nutrition 0.000 description 17
- 238000000151 deposition Methods 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 14
- 239000002184 metal Substances 0.000 description 14
- 230000008021 deposition Effects 0.000 description 12
- 238000002955 isolation Methods 0.000 description 11
- 238000011068 loading method Methods 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- 229910021332 silicide Inorganic materials 0.000 description 7
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 6
- 239000010941 cobalt Substances 0.000 description 6
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- 229910052802 copper Inorganic materials 0.000 description 6
- 239000010949 copper Substances 0.000 description 6
- 230000007547 defect Effects 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 5
- 229910017052 cobalt Inorganic materials 0.000 description 5
- 238000004151 rapid thermal annealing Methods 0.000 description 5
- 238000007872 degassing Methods 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 238000004590 computer program Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 238000004377 microelectronic Methods 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 230000008439 repair process Effects 0.000 description 3
- 229910000531 Co alloy Inorganic materials 0.000 description 2
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 229910001080 W alloy Inorganic materials 0.000 description 2
- 239000003570 air Substances 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 229910052805 deuterium Inorganic materials 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910000640 Fe alloy Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- QXZUUHYBWMWJHK-UHFFFAOYSA-N [Co].[Ni] Chemical compound [Co].[Ni] QXZUUHYBWMWJHK-UHFFFAOYSA-N 0.000 description 1
- YCOASTWZYJGKEK-UHFFFAOYSA-N [Co].[Ni].[W] Chemical compound [Co].[Ni].[W] YCOASTWZYJGKEK-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- JPNWDVUTVSTKMV-UHFFFAOYSA-N cobalt tungsten Chemical compound [Co].[W] JPNWDVUTVSTKMV-UHFFFAOYSA-N 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- UGKDIUIOSMUOAW-UHFFFAOYSA-N iron nickel Chemical compound [Fe].[Ni] UGKDIUIOSMUOAW-UHFFFAOYSA-N 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67276—Production flow monitoring, e.g. for increasing throughput
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Automation & Control Theory (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Physical Vapour Deposition (AREA)
Abstract
一種用於處理基板的高壓處理系統,包括:第一腔室;基座,定位在第一腔室內,以支撐基板;第二腔室,鄰近第一腔室;真空處理系統,配置成將第二腔室內的壓力降低到接近真空;閥組件,在第一腔室和第二腔室之間,以將第一腔室內的壓力與第二腔室內的壓力隔離;及氣體輸送系統,配置成將處理氣體引入第一腔室,並當處理氣體在第一腔室中時且當第一腔室與第二腔室隔離時,增加第一腔室內的壓力到至少10個大氣壓。
Description
這份說明書關於晶圓處理系統和相關方法。
微電子電路和其他微尺寸裝置通常由基板或晶圓(諸如矽或其他半導體材料晶圓)所製造。將多個金屬層施加到基板上,以形成微電子或其他微尺寸部件,或提供電互連件。這些金屬層(如,銅)被電鍍到基板上,並以光刻、電鍍、蝕刻、拋光,或其他步驟的順序形成部件和互連件。
為了獲得期望的材料性質,通常使基板通過退火處理,其中基板被快速加熱,通常加熱到約200-500℃,且更通常加熱到約300-400℃。基板可保持在這些溫度持續相對較短的時間,如,60-300秒。接著快速冷卻基板,其中整個處理通常只需幾分鐘。退火可用以改變基板上的層的材料性質。它也可用以激活摻雜劑,驅動在基板上的薄膜之間的摻雜劑,改變薄膜到薄膜或薄膜到基板的界面,使沉積薄膜緻密化,或修復離子佈植造成的損傷。
隨著微電子裝置和互連件的特徵尺寸變小,可允許的缺陷率顯著地降低。一些缺陷是由污染物顆粒引起的。其他缺陷可能是由於晶圓的某些區域的未完成處理,如,未能在溝槽的底部處生長膜而導致的。
過去已經使用各種退火腔室。在單晶圓處理配備中,這些退火腔室通常將基板定位在加熱和冷卻元件之間或之上,以控制基板的溫度輪廓。然而,實施方案精確和可重複的溫度輪廓以及可接受的缺陷水平可能會帶來工程挑戰。
在一個態樣中,一種用於處理基板的高壓處理系統,包括:第一腔室;基座,定位在第一腔室內,以支撐基板;第二腔室,鄰近第一腔室;真空處理系統,配置成降低第二腔室內的壓力到接近真空;閥組件,在第一腔室和第二腔室之間,以將第一腔室內的壓力與第二腔室內的壓力隔離;氣體輸送系統,配置成將處理氣體引入第一腔室並當處理氣體在第一腔室中時且當第一腔室與第二腔室隔離時,增加第一腔室內的壓力到至少為10個大氣壓;及控制器。控制器被配置為操作氣體輸送系統,以將處理氣體引入到第一腔室中,並打開閥組件,以使基板能夠從第一腔室傳送到第二腔室。
實施方案可包括一個或多個以下特徵。
閥組件可包括在第一腔室和第二腔室之間的狹縫閥。狹縫閥可包括:狹縫,通過在第一腔室和第二腔室之間的壁;及臂,可在第一位置和第二位置之間移動,在第一位置中,臂覆蓋狹縫,以在第一腔室和第二腔室之間形成密封,在第二位置中,狹縫未被覆蓋。基板可通過狹縫閥從第一腔室傳送到第二腔室。臂可配置成在第一位置與界定第一腔室的壁的內表面嚙合,以在第一腔室與第二腔室之間形成密封。致動器可相對於狹縫而移動臂。致動器可耦接到第二腔室的外部或第二腔室內的臂的近端。臂可被配置成在第一位置嚙合第一腔室的外表面,以在第一腔室和第二腔室之間形成密封。
基座可固定到界定第一腔室的壁。界定第一腔室的壁可相對於界定第一腔室的底座移動,以提供閥組件。基座可從第一腔室的頂板懸掛下來。
氣體輸送系統可包括排氣系統,以排出第一腔室內的氣體,從而減壓第一腔室。控制器可被配置為在閥組件打開之前操作排氣系統,以使第一腔室減壓。真空處理系統可被配置成在第二腔室內產生壓力,壓力小於1個大氣壓。
加熱元件可被配置成當基板被支撐在基座上時將熱量施加到基板,以退火基板。加熱元件可定位在基座內。加熱元件可定位在界定第一腔室的壁內。
機器人臂可被配置成將基板通過閥組件從第一腔室傳送到第二腔室。升降銷組件可從基座升起基板。
一種半導體製造設備,可包括:中央真空腔室,具有定位於中的機器人;工業介面模組,耦接到中央真空腔室;低壓基板處理系統,藉由第一真空閥耦接到中央真空腔室;及以上所述的高壓處理系統。第二腔室可藉由第二真空閥耦接到中央真空腔室。
在另一態樣中,一種半導體處理方法,包括以下步驟:將處理氣體引入第一腔室中,以處理基板上的層並在處理該層期間在第一腔室內產生至少10個大氣壓的壓力;及將基板直接從第一腔室傳送到第二腔室,第二腔室具有小於1個大氣壓的壓力。
實施方案可包括一個或多個以下特徵。在引入處理氣體之後且在傳送基板之前,處理氣體可從第一腔室排出,以降低第一腔室內的壓力。在傳送基板之前,可打開在第一腔室和第二腔室之間的狹縫閥。基板可通過狹縫閥傳送到第二腔室。打開狹縫閥可包括使臂從第一位置移動到第二位置,在第一位置中,臂和狹縫閥在第一腔室和第二腔室之間形成密封,在第二位置中,狹縫閥打開。在處理氣體被引入之後,可將熱量施加到基板上,以退火基板。基板可包括矽材料。
前述的優點可包括(但不限於)下文和於此其他地方所描述的那些。根據某些態樣的高壓處理系統可提高基板上的材料層的處理(如,退火或沉積)的徹底性。例如,藉由在高壓環境中退火或沉積,所得材料可更容易地滲入基板上的複雜表面幾何形狀(如,蝕刻幾何形狀)。因此,在處理期間中可能會發生更少的缺陷。
在這份說明書中描述的標的的一個或多個實施方案的細節在附隨的圖式和下面的實施方式中闡述。從實施方式、附隨的圖式和申請專利範圍中,其他潛在的特徵、態樣和優點將變得顯而易見。
如上所述,一些缺陷可能是由於基板的某些區域的不完整處理造成的。但是,高壓處理可提高跨越基板的處理的一致性。特別地,可在高壓環境中進行退火或沉積;這可有助於提高材料層的加工徹底性。結果,層可跨越基板更均勻地形成或改性。高壓處理還可提供在較低壓力下不可用的化學反應。
另一個問題是,某些材料(諸如銅)將在當曝露於氧氣時會在高於約70℃的溫度下迅速氧化。若銅或其他材料氧化,則基板可能不再可用,或氧化物層必須首先在進一步處理之前移除。這些對於高效製造都是不可接受的選擇。因此,當基板溫度超過約70℃時,設計因素是將基板與氧隔離。由於氧當然存在於環境空氣中,因此在退火期間避免銅的氧化也會帶來工程上的挑戰。如於此所述,基板可在高壓處理腔室和低壓(如,接近真空)環境中的不同處理腔室之間傳送,以避免基板的污染和氧化。
晶圓的溫度均勻性是另一個重要的設計因素,因為它影響晶圓上的銅或其他材料的晶體結構。處理系統(如,基座配置)可提供晶圓的均勻加熱。
另一個考慮是可服務性。能夠儘可能快速和有效地恢復或維修腔室是非常重要的。於此描述的腔室配置可容易維修。
第1圖顯示了適用於執行於此所述的物理氣相沉積、化學氣相沉積及/或退火處理的至少一個實施例的整合多腔室基板處理系統。通常,多腔室基板處理系統包括至少一個高壓處理腔室(如,能夠在10個大氣壓以上的壓力下操作),以執行高壓處理(諸如沉積或退火);及至少一個低壓處理腔室(如,能夠在低於約100毫托的壓力下操作),以執行低壓處理(諸如蝕刻、沉積,或熱處理)。在一些實施方案中,多腔室處理系統是具有處於低壓的中央傳送腔室且可從中接近多個處理腔室的群集工具。
於此描述的處理和系統的一些實施例關於形成用於特徵定義的材料層(如,金屬和金屬矽化物阻障)。例如,第一金屬層沉積在矽基板上,並退火以形成金屬矽化物層。在金屬矽化物層上接著沉積第二金屬層,以填充特徵。用以形成金屬矽化物層的退火處理可在多個退火步驟中進行。
第1圖是一個實施例的示意性頂視圖,處理平台100包括兩個傳送腔室102、104、分別位於傳送腔室102、104中的傳送機器人106、108及設置在兩個傳送腔室102、104上的處理腔室110、112、114、116、118。第一傳送腔室和第二傳送腔室102、104是與相鄰處理腔室110、112、114、116、118介面連接的中央真空腔室。第一傳送腔室102和第二傳送腔室104藉由通過腔室120分開,通過腔室120可包含冷卻腔室或預熱腔室。當第一傳送腔室102和第二傳送腔室104在不同的壓力下操作時,通過腔室120也可在基板處理期間抽真空或通風。例如,第一傳送腔室102可在約100毫托至約5托之間(諸如約40毫托)操作,且第二傳送腔室104可在約1×10-5托至約1×10-8托之間(諸如約1×10-7托)操作。
處理平台100藉由程式化控制器122而自動化。控制器122可操作用於處理平台100的腔室的每一者的單獨操作,以處理基板。
第一傳送腔室102與兩個除氣腔室124、兩個裝載閘腔室128、反應性預清潔腔室118、至少一個物理氣相沉積腔室(優選長拋式物理氣相沉積(PVD)腔室110)及通過腔室120耦接。預清潔腔室可為可從加州聖克拉拉市的應用材料公司購得的PreClean II腔室。通過裝載閘腔室128將基板(未顯示)裝載到處理平台100中。例如,工廠介面模組132(若存在)將負責從人類操作員或自動基板處理系統接收一個或多個基板(如,晶圓、晶圓匣,或晶圓封閉艙。若適用的話,工廠介面模組132可打開基板的匣或艙,並將基板移入和移出裝載閘腔室128。處理腔室110、112、114、116、118從傳送腔室102、104接收基板、處理基板,並允許基板被傳送回到傳送腔室102、104。在被加載到處理平台100中之後,基板順序地分別在除氣腔室124和預清潔腔室118中被除氣和清潔。
處理腔室的每一個藉由隔離閥與傳送腔室102、104隔離,隔離閥允許處理腔室在與傳送腔室102、104不同的真空水平下操作,並防止處理腔室中使用的任何氣體引入傳送腔室中。裝載閘腔室128也藉由隔離閥與傳送腔室102、104隔離。每個裝載閘腔室128具有通向外部環境(如,通向工廠介面模組132)的門。在正常操作中,裝載有基板的匣通過門從工廠介面模組132放入裝載閘腔室128中且門被關閉。接著將裝載閘腔室128抽空至與傳送腔室102相同的壓力,並打開在裝載閘腔室128與傳送腔室102之間的隔離閥。傳送腔室102中的機器人被移動到位且一個基板從裝載閘腔室128移除。裝載閘腔室128優選配備有升降器機構,以便從匣移除一個基板,升降器移動在匣中的晶圓的堆疊以將另一個晶圓定位在傳送平面中,使得它可定位在機器人葉片上。
傳送腔室102中的傳送機器人106接著與基板一起旋轉,使得基板與處理腔室位置對齊。處理腔室被任何有毒氣體沖洗,達到與傳送腔室相同的壓力水平,並打開隔離閥。傳送機器人106接著將晶圓移動到處理腔室中,在那裡它從機器人上被升起。傳送機器人106接著從處理腔室縮回並關閉隔離閥。處理腔室接著經歷一系列操作,以在晶圓上執行指定的處理。當完成時,處理腔室返回到與傳送腔室102相同的環境,並打開隔離閥。當整個晶圓匣已被處理時,傳送機器人106從處理腔室移除晶圓,並接著將晶圓移動到另一個處理腔室用於另一操作,或在裝載閘腔室128中替換晶圓,以從處理平台100移除。
傳送機器人106、108分別包括在不同處理腔室之間支撐和移動基板的機器人臂107、109。傳送機器人106在除氣腔室124和預清潔腔室118之間移動基板。接著可將基板傳送到長拋式PVD腔室110,以在基板上沉積材料。
第二傳送腔室104耦接到處理腔室110、112、114、130的群集。處理腔室110、112可為化學氣相沉積(CVD)腔室,用於按照操作者的期望而沉積材料(諸如鎢)。合適的CVD腔室的示例包括可從位於加州聖克拉拉市的應用材料公司購得的W×ZTM
腔室。CVD腔室優選適於藉由原子層沉積(ALD)技術及藉由傳統的化學氣相沉積技術而沉積材料。處理腔室114和130可為可在真空或接近真空壓力下退火基板的快速熱退火(RTA)腔室或快速熱處理(RTP)腔室。RTA腔室114的示例是可從加州聖克拉拉市的應用材料公司購得的RADIANCETM
腔室。替代地,處理腔室114和130可為能夠執行高溫CVD沉積、退火處理,或原位沉積和退火處理的W×ZTM
沉積腔室。經PVD處理的基板經由通過腔室120從第一傳送腔室102移動到第二傳送腔室104中。此後,傳送機器人108在處理腔室110、112、114、130的一個或多個之間移動基板,用於處理所需的材料沉積和退火。
RTA腔室(未顯示)也可設置在處理平台100的第一傳送腔室102上,以在基板從平台100移除或傳送到第二傳送腔室104之前提供沉積後退火處理。
雖然未顯示,但是複數個真空泵設置成與每個傳送腔室和處理腔室的每一個流體連通,以獨立地調節相應腔室中的壓力。泵可建立從裝載閘腔室到處理腔室跨越設備的增加壓力的真空梯度。
替代地或附加地,電漿蝕刻腔室(諸如由加州聖克拉拉市的應用材料公司製造的解耦電漿源腔室(DPSTM
腔室))可耦接到處理平台100或在單獨的處理中系統,用於在PVD金屬沉積及/或退火沉積金屬之後蝕刻基板表面,以移除未反應的金屬。例如,在藉由退火處理由鈷和矽材料形成矽化鈷時,可使用蝕刻腔室從基板表面移除未反應的鈷材料。
其他蝕刻處理和設備(諸如濕式蝕刻腔室)可與於此描述的處理和設備結合使用。
第2圖顯示了受控高壓系統200,受控高壓系統200產生用於處理基板的高壓環境和當基板在處理腔室之間傳送時用於基板的低壓環境。受控高壓系統200包括第一高壓腔室202和第二真空腔室204。第一腔室202可對應於處理平台100的處理腔室110、112、114、116、118、130的一個,且第二腔室204可對應於處理平台100的傳送腔室102、104的一個。替代地,在一些實施方案中,處理腔室110、112、114、116、118、130的一個包括第一腔室202和第二腔室204兩者。第一腔室202對應於內腔室,且第二腔室204對應於圍繞內腔室的外腔室。
第一腔室202內的壓力可獨立於第二腔室204中的壓力而被控制。若第一腔室202和第二腔室204與傳送腔室不同,則第一腔室202和第二腔室204可具有獨立於傳送腔室內的壓力而控制的壓力。受控高壓系統200進一步包括氣體輸送系統206、真空處理系統208和控制器210。在一些示例中,處理平台100的控制器122可包括控制器210。
第二腔室204是鄰近第一腔室202的低壓腔室。在一些實施方案中,第二腔室204也圍繞第一腔室202。第二腔室204可對應於接收在不同處理腔室之間的基板的傳送腔室(如,傳送腔室102或傳送腔室104)。第二腔室204的低壓環境可抑制基板或形成在基板上的材料的污染及/或氧化。
操作氣體輸送系統206以對第一腔室202加壓和減壓。第一腔室202是從氣體輸送系統206接收處理氣體並建立高壓(如,處於至少10個大氣壓)的高壓處理腔室。處理氣體可與正在處理的層相互作用,以便對層進行退火(如,藉由改性層或與材料反應形成新層)。處理氣體可包括氫氣(如,處理氣體可為氫氣H2
)。替代地,處理氣體可為用作要在基板上形成的材料的來源的前驅物氣體(如,用於沉積處理)。為了加壓第一腔室202,氣體輸送系統206將處理氣體引入第一腔室202中。在一些情況下,氣體輸送系統206還可將蒸汽引入第一腔室202中,以增加第一腔室202內的壓力。
氣體輸送系統206可包括排氣系統211,以從第一腔室202排出處理氣體,從而使第一腔室302減壓。操作真空處理系統208以將第二腔室204的壓力控制在真空或接近真空的壓力(如,小於1毫托)。例如,真空處理系統208將第二腔室204內的壓力降低至接近真空,從而產生用於傳送基板的合適低壓環境。
在第一腔室202和第二腔室204之間的閥組件212將第一腔室202內的壓力與第二腔室204內的壓力隔離。因此,第一腔室202內的高壓環境可與第二腔室204內的低壓環境分離並密封。閥組件212可打開以使基板能夠從第一腔室202直接傳送到第二腔室204中,或使基板能夠從第二腔室204直接傳送到第一腔室202中。
在一些實施方案中,高壓系統200包括連接到傳送腔室(如,傳送腔室102、104的一個)並連接到外部環境的前級管線214。沿著前級管線214佈置隔離閥216,以隔離第二腔室204內的壓力與外部環境的壓力。可操作隔離閥216以調節第二腔室204內的壓力並釋放第二腔室204內的氣體。隔離閥216可與真空處理系統208一起操作以調節第二腔室204內的壓力。
第3-6圖描繪了用於處理基板上的層的高壓處理系統的各種實施例。這些高壓處理系統的腔室的壓力可使用與關於第2圖所描述的系統類似的系統來控制。
參考第3圖,高壓處理系統300包括第一腔室302、基座304、第二腔室306和控制器(如,控制器122)。高壓處理系統300進一步包括類似於真空處理系統208的真空處理系統(未顯示)和類似於關於第2圖描述的氣體輸送系統206的氣體輸送系統307。例如,氣體輸送系統307包括輸入管線307a和排氣管線307b。處理氣體通過輸入管線307a被引入到第一腔室302中,且處理氣體通過排氣管線307b從第一腔室302排出。
基座304支撐基板314,將要在基板314上處理材料層(如,退火或沉積)。基座304定位或可定位在第一腔室302內。在一些實施方案中,基板314直接座落於基座的平坦頂表面上。在一些實施方案中,基板314座落在從基座突出的銷330上。
高壓處理系統300包括內壁320、底座322和外壁324。第一腔室302由內壁320內的容積(如,在內壁320和底座322之間)提供。第二腔室304由內壁320外側的容積(如,在內壁320與外壁324之間)提供。
高壓處理系統300進一步包括在第一腔室302和第二腔室306之間的閥組件316,閥組件316提供第2圖的閥組件212的功能,亦即,可操作閥組件316以隔離第一腔室302和第二腔室306。例如,閥組件316包括內壁320、底座322和致動器323,以使底座322相對於內壁320移動。可控制致動器323以驅動底座322垂直地移動(如,遠離或朝向界定第一腔室302的壁320)。波紋管328可用以密封第二腔室306而與外部大氣隔絕,同時允許底座322垂直地移動。波紋管328可從底座322的底部延伸到由外壁324形成的第二腔室306的地板。
當閥組件316處於關閉位置時,底座322接觸壁320,使得在底座322和壁320之間形成密封,因而將外腔室306與內腔室302分離。以足夠的力操作致動器323以驅動底座322朝向內壁320以形成密封。密封件阻止來自第一高壓腔室302的空氣排入低壓第二腔室306。
當閥組件316處於打開位置時,底座322與壁320間隔開,從而允許空氣在第一腔室302和第二腔室306之間傳導且還允許基板314被接近並傳送到另一腔室。
因為基座304被支撐在底座322上,基座304因此也可相對於內壁320移動。可移動基座304以使基板314更容易被傳送機器人接近。例如,傳送機器人106或108(參見第1圖)的臂可延伸通過外壁324中的孔326。當閥組件316處於打開位置時,機器人臂可通過在內壁320和底座322之間的間隙以接近基板314。
在一些實施方案中,高壓處理系統300包括一個或多個加熱元件318,一個或多個加熱元件318被配置為向基板314施加熱量。當基板314被支撐在基座304上且處理氣體(若使用的話)已被引入到第一腔室302中時,來自加熱元件318的熱量可足以使基板314退火。加熱元件318可為電阻加熱元件。一個或多個加熱元件318可定位在(如,嵌入)界定第一腔室302的內壁320中(如,在由內壁320提供的第一腔室302的頂板中)。這加熱了內壁320,從而引起輻射熱到達基板314。基板314可被基座304保持成緊密接近(如,2-10mm)於頂板,以改善從內壁320到基板314的熱量傳輸。
然而,一個或多個加熱元件318可佈置在高壓處理系統300內的其他位置中(如,在側壁內而不是在頂板內)。加熱元件318的示例包括分立的加熱線圈。代替或除了嵌入內壁的加熱器,輻射加熱器(如,紅外燈)可位於第一腔室302的外部並引導紅外輻射通過內壁320中的窗口。電線將電氣源(未顯示)(諸如電壓源)連接到加熱元件,並可將一個或多個加熱元件318連接到控制器。
控制器可操作地連接到真空處理系統、氣體輸送系統307和閥組件316,用於控制處理(如,退火或沉積)基板314上的材料層的操作。在一些實施方案中,控制器也可可操作地連接到其他系統。例如,控制器也可可操作地連接到傳送機器人106、108、一個或多個加熱元件318及/或致動器323的一個或多個。在一些情況下,第1圖中所示的控制器122可包括高壓處理系統300的控制器。
在處理基板314上的材料層時,控制器可操作真空處理系統以將第二腔室306減壓至低壓狀態(如,進入第二腔室306具有小於1大氣壓的壓力的狀態),以準備通過第二腔室306傳送基板314。低壓狀態可為近真空狀態(如,小於1毫托的壓力)。基板314藉由傳送機器人(如,傳送機器人106、108的一個)移動通過第二腔室306,同時第二腔室306處於低壓,使得可抑制基板314的污染和氧化。雙壁可幫助確保更安全的處理(如,退火)。
基板314被傳送到第一腔室302中進行處理。為了將基板314傳送到第一腔室302中,控制器可操作閥組件316(如,打開閥組件316以提供開口,基板314可通過開口被傳送到第一腔室302中)。控制器可操作傳送機器人以將基板314運載到第一腔室302中並將基板314放置在基座304上。
在基板314被傳送到第一腔室302中之後,控制器可操作閥組件316以關閉開口(如,關閉閥組件316),從而將第一腔室302和第二腔室306彼此隔離。在閥組件316關閉的情況下,第一腔室302和第二腔室306中的壓力可被設定為不同的值。控制器可操作氣體輸送系統307以將處理氣體引入第一腔室302中,以加壓第一腔室302並將材料層形成到基板314上。處理氣體的引入可增加第一腔室302內的壓力到(例如)10個大氣壓或更高。
在一些實施方案中,處理氣體與基板上的材料相互作用(如,藉由改性該層或與材料反應以形成新的層)來退火材料。替代地,處理氣體可包括待沉積到基板314上的材料,且第一腔室302中適當的溫度和壓力條件可引起材料的沉積發生。在處理基板期間,控制器可操作一個或多個加熱元件318以向基板314增加熱量,以促進材料層在基板314上的沉積。
當基板314上的材料層的改性或形成完成時,可使用傳送機器人從第一腔室302移除基板314,且若必要的話,可將基板314傳送到隨後的處理腔室。替代地,基板314被傳送到裝載閘腔室(如,裝載閘腔室128的一個)。為了準備將基板314傳送出第一腔室302,控制器可操作氣體輸送系統307的排氣系統,以在閥組件316打開之前使第一腔室302減壓。特別地,在基板314被傳送出第一腔室202之前,處理氣體從第一腔室302排出,以降低第一腔室202內的壓力。壓力可降低至接近真空的壓力,使得在第一腔室302和第二腔室306之間的壓差可最小化。
為了能夠將基板314傳送出第一腔室302,控制器可打開閥組件316。打開的閥組件316提供一個開口,基板314通過該開口移動而被傳送到第二腔室306中。特別地,打開的閥組件316使基板314能夠被直接傳送到第二腔室306中(如,進入第二腔室306的低壓環境中)。控制器可接著操作傳送機器人以將基板314傳送到處理平台(處理平台100)的另一部分。例如,基板314首先被直接傳送到第二腔室306中,並接著被傳送到適當的處理腔室以進行進一步處理,或傳送到裝載閘腔室以從處理平台移除基板。
參考第4圖,在另一個實施例中,高壓處理系統400包括第一腔室402、基座404、第二腔室406和控制器(未顯示)。高壓處理系統400與關於第3圖描述的高壓處理系統300類似;除非另外指明,否則各種選項和實施方案也適用於這個實施例。
例如,高壓處理系統400的氣體輸送系統和真空處理系統以類似的方式操作,以維持使用高壓處理系統400而處理的基板414的低壓和高壓環境。第二腔室406可由在內壁420與外壁424之間的容積界定。此外,基板414也可支撐在基座404上以在第一腔室402內處理。此外,基板可直接座落於基座404上,或座落在延伸通過基座的升降銷430上。
高壓處理系統400與第3圖的高壓處理系統300在一些考量上不同。首先,界定第一腔室402的內壁420相對於界定第一腔室402的底座422不可移動。基座404因此相對於內壁420和底座422固定。在一些示例中,基座404是固定到界定第一腔室402的底座422。
與第3圖的實施例的一個或多個加熱元件318的情況一樣,不是佈置在第一腔室402的壁420中,第4圖中描繪的實施例的一個或多個加熱元件418佈置在基座404內。基板414因此藉由與基座404接觸而被加熱。
高壓處理系統400進一步包括在第一腔室402和第二腔室406之間的閥組件416,閥組件416(類似於第3圖的閥組件316)隔離第一腔室402和第二腔室406。然而,與閥組件316不同,閥組件416不是由界定第一腔室402的壁420和底座422形成,而是由可相對於第一腔室402的內壁420和底座422移動的臂424形成。臂424可相對於第一腔室402的外壁420和底座422移動。
特別地,閥組件416包括在第一腔室402和第二腔室406之間的狹縫閥423。狹縫閥423包括狹縫423a和臂424。狹縫423a延伸通過第一腔室402的第一內壁420。臂424的近端424a位於第一腔室402的外部,而臂424的遠端424b位於第一腔室402內。臂425的近端425a可位於第二腔室406內,且由位於第二腔室406內的致動器驅動。替代地,臂425的近端425a位於第二腔室406的外部,且因此由也位於第二腔室406的外部的致動器428驅動。
臂425延伸通過狹縫423a,並可相對於壁420移動,使得臂425可移動到與壁420形成密封的位置。致動器428耦接到臂425的近端425a並相對於壁420驅動臂425的遠端425b。臂425也可垂直移動以覆蓋或露出狹縫423a。特別地,臂425的近端425a可為或可包括基本上平行於內壁420的相鄰內表面而延伸的凸緣。臂425也可移動並被橫向驅動,使得臂425的遠端425b可嚙合或脫離壁420。
臂425也可延伸通過外壁424中的孔426。
像閥組件316一樣,閥組件416可在打開位置和關閉位置之間移動。當閥組件416處於關閉位置時,臂425的遠端425b覆蓋狹縫426並接觸壁420的一個,由此形成密封以將第一腔室402與第二腔室406隔離。特別地,臂425的遠端425b(如,凸緣)接觸界定第一腔室402的壁420的內表面。
當閥組件416處於打開位置時,臂425的遠端425b橫向地與壁420(如,壁420的內表面)間隔開。另外,臂425的遠端425b是垂直定位,使得狹縫426未被覆蓋。因此,狹縫426提供了開口,開口能夠實現在第一腔室402和第二腔室406之間的流體連通,且還能夠使基板414(如,藉由如上所討論的機器人)移入和移出第一腔室402。
控制器可以與關於高壓處理系統300的控制器所描述的處理類似的方式來操作高壓處理系統400,以將基板414傳送進出第一腔室402並在基板414上形成材料層。在這個處理中,為了打開和關閉閥組件416,控制器可操作致動器428以驅動臂425。
第4圖中所示的配置的優點在於第一腔室402內的壓力有助於迫使臂425的遠端425抵靠內壁420的內表面。因此,與第3圖所示的配置相比,致動器可不那麼強大。
參考第5圖,在進一步的實施例中,高壓處理系統500包括第一腔室502、基座504、第二腔室506和控制器(未顯示)。高壓處理系統500與關於第4圖描述的高壓處理系統400類似;除非另外指明,否則各種選項和實施方案也適用於這個實施例。
例如,高壓處理系統500的氣體輸送系統和真空處理系統以類似的方式操作,以保持使用高壓處理系統500而處理的基板(未顯示)的低壓和高壓環境。此外,基板也可支撐在基座504或升降銷上以在第一腔室502內處理。
高壓處理系統500與第4圖的高壓處理系統400的不同之處在於基座504安裝到界定第一腔室502的頂板521而不是界定第一腔室502的底座522。與基座504相似,基座504相對於壁520、頂板521和底座522而固定。此外,高壓處理系統500的一個或多個加熱元件518佈置在基座504內。為了將基板定位在基座504上使得基板支撐在基座504上,基板插入在基座504的板之間。一個或多個加熱元件518相對於板佈置,使得當基板插入由基座504的板界定的槽中時,一個或多個加熱元件518可均勻地向基板施加熱量。
第5圖的配置的優點在於,內腔室502更易於接近而維修或修理。特別地,為了接近基座504,可移除外壁526的頂蓋528。接著,可將頂板521和基座504作為一個單位來移除。
參考第6圖,在進一步的實施例中,高壓處理系統600包括第一腔室602、基座604、第二腔室606和控制器(未顯示)。高壓處理系統600類似於關於第4圖描述的高壓處理系統400;除非另外指明,否則各種選項和實施方案也適用於這個實施例。
例如,高壓處理系統600的氣體輸送系統和真空處理系統以類似的方式操作,以維持使用高壓處理系統600而處理的基板614的低壓和高壓環境。此外,基板614也可支撐在基座604上以在第一腔室602內處理。
高壓處理系統600與第4圖的高壓處理系統400的不同之處在於,高壓處理系統400的閥組件616的臂625接觸界定第一腔室602的內壁620的外表面,而不是內壁620的內表面,以覆蓋內壁620中的孔623a。與閥組件416類似,閥組件616操作以將第一腔室602與第二腔室606隔離。閥組件616可定位在第一腔室602與第二腔室606之間。
閥組件616包括在第一腔室602和第二腔室606之間的狹縫閥623。狹縫閥623包括孔623a(如,狹縫)和臂625。狹縫623a延伸通過內壁620的一個,內壁620提供第一腔室602。臂625的近端625a位於第一腔室602的外部。
與臂425的情況一樣,不是位於第一腔室602內,臂625的遠端625b位於第一腔室602的外部。因此,臂625不延伸通過狹縫626。
臂625可相對於壁620移動,使得臂625可移動到與壁620形成密封的位置。例如,高壓處理系統600包括致動器628,致動器628可操作以驅動臂625。致動器628耦接到臂625的近端625a且被驅動以相對於壁620移動臂625的遠端625b。
像閥組件316一樣,閥組件616可在打開位置和關閉位置之間移動。例如,當閥組件616處於關閉位置時,臂625的遠端625b接觸壁620的一個,由此形成密封以將第一腔室602中的高壓與第二腔室606中的低壓隔離。特別地,臂625的遠端625b接觸界定第一腔室602的壁620的外表面並定位成覆蓋狹縫626。
當閥組件616處於打開位置時,臂625的遠端625b不接觸壁620(如,壁620的內表面)。孔626因此提供開口,開口能夠實現在第一腔室602和第二腔室606之間的流體連通且還使基板614能夠移入和移出第一腔室602。
控制器可以與關於高壓處理系統300的控制器所描述的處理類似的方式來操作高壓處理系統600,以傳送基板614並在基板614上形成材料層。在這個處理中,為了打開和關閉閥組件616,控制器可操作致動器628以驅動臂625。
第6圖中所示的配置的優點在於(如,與第3圖所示的配置中的底座322相比),孔626相對較小。如此,當在第一腔室602中建立高壓時,需要較小的力來將閥保持在關閉位置。因此,與第3圖所示的配置相比,致動器可不那麼強大。
第7圖顯示了根據某些實施例的具有加熱元件的基座700。基座700可(例如)對應於基座404、504、604的一個。基座700包括具有升降銷704的升降銷組件702,升降銷704至少部分地設置在界定在板708、710中的開口706中。升降銷704用以從基座700提升基板,使得傳送機器人(如,傳送機器人106、108的一個)可接近並移動基板離開腔室(如,第一腔室202、302、402、502,或602)。升降銷704由致動器705從第一位置驅動到第二位置,在第一位置中,升降銷704凹進在基座700內,在第二位置中,升降銷704從基座700突出。在第二位置中,升降銷704將在基座700上的基板支撐在基座上方,從而在基座700上方提供足夠的高度以供傳送機器人抓住基板。
控制器和計算裝置可實現於此描述的這些操作和其他處理和操作。控制器(如,控制器122、210或高壓處理系統300、400、500或600的控制器的一個)可包括一個或多個處理裝置,處理裝置連接到於此描述的高壓系統的各種部件、系統和子系統。
於此描述的系統的控制器和其他計算裝置部分可以數位電子電路,或電腦軟體、韌體或硬體來實現。例如,控制器可包括處理器以執行儲存在電腦程式產品中(如,儲存在非暫態性機器可讀儲存介質中)的電腦程式。這種電腦程式(也稱為程式、軟體、軟體應用,或代碼)可用任何形式的程式化語言編寫,包括編譯或解譯的語言,且可以任何形式進行部署,包括作為獨立程式或作為模組、部件、子程式,或適用於計算環境中使用的其他單元。
雖然這份文件含有許多具體實施方案的細節,但這些細節不應被解釋為對任何發明或可能要求保護的範圍的限制,而應被解釋為對專用於特定發明的特定實施例的特徵的描述。在單獨實施例的上下文中的這份文件中描述的某些特徵也可在單個實施例中組合實現。相反地,在單個實施例的上下文中描述的各種特徵也可在多個實施例中單獨地或以任何合適的子組合來實現。此外,儘管上文可將特徵描述為以某些組合起作用且甚至最初如此要求,但是來自所要求保護的組合的一個或多個特徵可在一些情況下從組合中刪除,且所要求保護的組合可涉及子組合或子組合的變體。
已經描述了許多實施方案。然而,將理解可進行各種修改。例如: •處理系統可用於金屬退火(如,銅或鈷的退火)。對於這種退火處理,處理氣體可為氫氣(H2
)或氘氣(D2
)。 •處理系統可用於二氧化矽(SiO2
)的退火。對於這種退火處理,處理氣體可為水蒸汽或蒸汽。 •處理系統可用於矽鍺材料的退火對於這種退火處理,處理氣體可為氘氣(D2
)。 •雖然上文描述了由鈷或鎳層膜形成金屬矽化物層,但是在一些實施方案中,可使用其他材料。例如,其它材料可包括鈦、鉭、鎢、鉬、鉑、鐵、鈮、鈀及其組合,及包括鎳鈷合金、鈷鎢合金、鈷鎳鎢合金、摻雜鈷和鎳合金,或鎳鐵合金的其他合金,以形成金屬矽化物材料。 •儘管上文在用於形成層的系統的上下文中描述,但根據提供的氣體,高壓腔室可用於蝕刻系統。替代地,高壓腔室可填充惰性氣體,且高壓腔室可純粹用於高壓下的熱處理。 •於此描述的處理平台可包括其他類型的處理腔室。例如,處理平台可包括蝕刻腔室,以將圖案蝕刻到基板的表面上。 •處理平台的不同腔室的每一個可具有不同的壓力環境,範圍從近真空到超過10個大氣壓。在腔室之間的隔離閥(如,真空閥)可將壓力彼此隔離,使得這些變化的壓力環境可保持在每個腔室內。 •在某些情況下(如,在形成不需要與大氣隔離的膜的情況下),第2-6圖所示的高壓處理系統可為獨立系統,而不是整合到多腔室系統中。在這種情況下,低壓腔室將仍然可用於將高壓腔室與外部環境隔離(如,在洩漏的情況下)。
因此,其他實施方案在申請專利範圍的範圍內。
100‧‧‧處理平台/平台
102‧‧‧傳送腔室/第一傳送腔室
104‧‧‧傳送腔室/第二傳送腔室
106‧‧‧傳送機器人
107‧‧‧機器人臂
108‧‧‧傳送機器人
109‧‧‧機器人臂
110‧‧‧處理腔室/物理氣相(PVD)沉積腔室
112‧‧‧處理腔室
114‧‧‧處理腔室/RTA腔室
116‧‧‧處理腔室
118‧‧‧處理腔室/預清潔腔室
120‧‧‧通過腔室
122‧‧‧控制器
124‧‧‧除氣腔室
128‧‧‧裝載閘腔室
130‧‧‧處理腔室
132‧‧‧工廠介面模組
200‧‧‧高壓系統
202‧‧‧第一高壓腔室/第一腔室
204‧‧‧第二真空腔室/第二腔室
206‧‧‧氣體輸送系統
208‧‧‧真空處理系統
210‧‧‧控制器
211‧‧‧排氣系統
212‧‧‧閥組件
214‧‧‧前級管線
216‧‧‧隔離閥
300‧‧‧高壓處理系統
302‧‧‧腔室
304‧‧‧基座/第二腔室
306‧‧‧腔室
307‧‧‧氣體輸送系統
307a‧‧‧輸入管線
307b‧‧‧排氣管線
314‧‧‧基板
316‧‧‧閥組件
318‧‧‧加熱元件
320‧‧‧壁
322‧‧‧底座
323‧‧‧致動器
324‧‧‧外壁
326‧‧‧孔
328‧‧‧波紋管
330‧‧‧銷
400‧‧‧高壓處理系統
402‧‧‧第一腔室
404‧‧‧基座
406‧‧‧第二腔室
414‧‧‧基板
416‧‧‧閥組件
418‧‧‧加熱元件
420‧‧‧壁
422‧‧‧底座
423‧‧‧狹縫閥
423a‧‧‧狹縫
424‧‧‧外壁/臂
425‧‧‧臂
425a‧‧‧近端
425b‧‧‧遠端
426‧‧‧孔/狹縫
428‧‧‧致動器
430‧‧‧升降銷
500‧‧‧高壓處理系統
502‧‧‧第一腔室/內腔室
504‧‧‧基座
506‧‧‧第二腔室
518‧‧‧加熱元件
520‧‧‧壁
521‧‧‧頂板
522‧‧‧底座
524 526‧‧‧外壁
528‧‧‧頂蓋
600‧‧‧高壓處理系統
602‧‧‧第一腔室
604‧‧‧基座
606‧‧‧第二腔室
614‧‧‧基板
616‧‧‧閥組件
618 620‧‧‧壁
623‧‧‧狹縫閥
623a‧‧‧孔/狹縫
624 625‧‧‧臂
625a‧‧‧近端
625b‧‧‧遠端
626‧‧‧狹縫/孔
628‧‧‧致動器
700‧‧‧基座
702‧‧‧升降銷組件
704‧‧‧升降銷
705‧‧‧致動器
706‧‧‧開口
708‧‧‧板
710‧‧‧板
第1圖是處理平台的圖。
第2圖是高壓系統的圖。
第3圖是高壓處理系統的示例的示意性側視圖。
第4圖是高壓處理系統的另一示例的示意性側視圖。
第5圖是高壓處理系統的另一示例的示意性側視圖。
第6圖是高壓處理系統的另一示例的示意性側視圖。
第7圖是基座的示意性側視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
Claims (24)
- 一種用於處理一基板上的一層的高壓處理系統,包含: 一第一腔室;一基座,用以支撐該基板,該基座定位在該第一腔室內;一第二腔室,鄰近該第一腔室;一真空處理系統,配置成降低該第二腔室內的一壓力到接近真空;一閥組件,在該第一腔室和該第二腔室之間,以將該第一腔室內的該壓力與該第二腔室內的該壓力隔離;一氣體輸送系統,配置成將一處理氣體引入該第一腔室並當該處理氣體在該第一腔室中時且當該第一腔室與該第二腔室隔離時,增加該第一腔室內的該壓力到至少為10個大氣壓;及一控制器,被配置為操作該氣體輸送系統,以將該處理氣體引入到該第一腔室中,以處理該基板上的該層;及打開該閥組件,以使該基板能夠從該第一腔室傳送到該第二腔室。
- 如請求項1所述之系統,其中該基座固定到界定該第一腔室的多個壁。
- 如請求項1所述之系統,其中該閥組件包含在該第一腔室和該第二腔室之間的一狹縫閥。
- 如請求項3所述之系統,其中該狹縫閥包含:一狹縫,通過在該第一腔室和該第二腔室之間的一壁;及一臂,可在一第一位置和一第二位置之間移動,在該第一位置中,該臂覆蓋該狹縫,以在該第一腔室和該第二腔室之間形成一密封,在該第二位置中,該狹縫未被覆蓋,且該基板通過該狹縫閥從該第一腔室可傳送到該第二腔室。
- 如請求項4所述之系統,其中該臂配置成在該第一位置與界定該第一腔室的該壁的一內表面嚙合,以在該第一腔室與該第二腔室之間形成該密封。
- 如請求項4所述之系統,進一步包含一致動器,以相對於該狹縫而移動該臂,該致動器耦接到該第二腔室的外部的該臂的一近端。
- 如請求項4所述之系統,進一步包含一致動器,以相對於該狹縫而移動該臂,該致動器耦接該第二腔室內的該臂的一近端。
- 如請求項4所述之系統,其中該臂配置成在該第一位置嚙合該第一腔室的一外表面,以在該第一腔室和該第二腔室之間形成該密封。
- 如請求項1所述之系統,其中界定該第一腔室的該等壁可相對於界定該第一腔室的一底座移動,以提供該閥組件。
- 如請求項1所述之系統,其中該氣體輸送系統包含一排氣系統,以排出該第一腔室內的氣體,從而減壓該第一腔室,其中該控制器配置為在該閥組件打開之前操作該排氣系統,以使該第一腔室減壓。
- 如請求項1所述之系統,進一步包含一真空處理系統,該真空處理系統配置成在該第二腔室內產生一壓力,該壓力小於1個大氣壓。
- 如請求項1所述之系統,進一步包含一加熱元件,該加熱元件配置成當該基板被支撐在該基座上時將熱量施加到該基板,以退火該基板。
- 如請求項12所述之系統,其中該加熱元件定位在該基座內。
- 如請求項12所述之系統,其中該加熱元件定位在界定該第一腔室的多個壁內。
- 如請求項1所述之系統,進一步包含一機器人臂,該機器人臂配置成將該基板通過該閥組件從該第一腔室傳送到該第二腔室。
- 如請求項1所述之系統,進一步包含一升降銷組件,以從該基座升起該基板。
- 如請求項1所述之系統,其中該基座從該第一腔室的一頂板懸掛下來。
- 一種半導體製造設備,包含: 一中央真空腔室,具有定位於中的一機器人;一工業介面模組,耦接到該中央真空腔室;一低壓處理系統,藉由一第一真空閥耦接到該中央真空腔室;及如請求項1所述的該高壓處理系統,其中該第二腔室藉由一第二真空閥耦接到該中央真空腔室。
- 一種方法,包含以下步驟: 將一氣體引入一第一腔室中,以在一基板上形成一層並在形成該層期間在該第一腔室內產生至少10個大氣壓的一壓力;及將該基板直接從該第一腔室傳送到一第二腔室,該第二腔室具有小於1個大氣壓的一壓力。
- 如請求項19所述之方法,進一步包含以下步驟:在引入該處理氣體之後且在傳送該基板之前,將該處理氣體從該第一腔室排出,以降低該第一腔室內的該壓力。
- 如請求項19所述之方法,進一步包含以下步驟:在傳送該基板之前,打開在該第一腔室和該第二腔室之間的一狹縫閥,其中該基板通過該狹縫閥傳送到該第二腔室。
- 如請求項21所述之方法,其中該打開狹縫閥之步驟包含以下步驟:使一臂從一第一位置移動到一第二位置,在該第一位置中,該臂和該狹縫閥在該第一腔室和該第二腔室之間形成一密封,在該第二位置中,該狹縫閥打開。
- 如請求項19所述之方法,進一步包含以下步驟:在該處理氣體被引入之後,將熱量施加到該基板,以退火該基板。
- 如請求項19所述之方法,其中該基板包含一矽材料。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762470057P | 2017-03-10 | 2017-03-10 | |
US62/470,057 | 2017-03-10 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201841290A true TW201841290A (zh) | 2018-11-16 |
TWI826370B TWI826370B (zh) | 2023-12-21 |
Family
ID=63445057
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112144422A TW202412157A (zh) | 2017-03-10 | 2018-03-09 | 高壓晶圓處理系統以及相關方法 |
TW107108016A TWI826370B (zh) | 2017-03-10 | 2018-03-09 | 高壓晶圓處理系統以及相關方法 |
TW112125001A TW202345268A (zh) | 2017-03-10 | 2018-03-09 | 高壓晶圓處理系統以及相關方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112144422A TW202412157A (zh) | 2017-03-10 | 2018-03-09 | 高壓晶圓處理系統以及相關方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112125001A TW202345268A (zh) | 2017-03-10 | 2018-03-09 | 高壓晶圓處理系統以及相關方法 |
Country Status (7)
Country | Link |
---|---|
US (3) | US10224224B2 (zh) |
EP (1) | EP3593378B1 (zh) |
JP (3) | JP6983900B2 (zh) |
KR (3) | KR102509015B1 (zh) |
CN (2) | CN110603631B (zh) |
TW (3) | TW202412157A (zh) |
WO (1) | WO2018165533A1 (zh) |
Families Citing this family (35)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6832154B2 (ja) * | 2016-12-27 | 2021-02-24 | 東京エレクトロン株式会社 | パージ方法 |
US10224224B2 (en) * | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10847360B2 (en) | 2017-05-25 | 2020-11-24 | Applied Materials, Inc. | High pressure treatment of silicon nitride film |
JP7190450B2 (ja) | 2017-06-02 | 2022-12-15 | アプライド マテリアルズ インコーポレイテッド | 炭化ホウ素ハードマスクのドライストリッピング |
JP6947914B2 (ja) | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
CN111095524B (zh) | 2017-09-12 | 2023-10-03 | 应用材料公司 | 用于使用保护阻挡物层制造半导体结构的设备和方法 |
US10643867B2 (en) | 2017-11-03 | 2020-05-05 | Applied Materials, Inc. | Annealing system and method |
JP7112490B2 (ja) | 2017-11-11 | 2022-08-03 | マイクロマテリアルズ エルエルシー | 高圧処理チャンバのためのガス供給システム |
KR102622303B1 (ko) | 2017-11-16 | 2024-01-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 스팀 어닐링 프로세싱 장치 |
JP2021503714A (ja) | 2017-11-17 | 2021-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧処理システムのためのコンデンサシステム |
KR102649241B1 (ko) | 2018-01-24 | 2024-03-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 어닐링을 사용한 심 힐링 |
US11077535B2 (en) * | 2018-02-14 | 2021-08-03 | Samsung Electronics Co., Ltd. | Process system having locking pin and locking pin |
EP3762962A4 (en) | 2018-03-09 | 2021-12-08 | Applied Materials, Inc. | HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10566188B2 (en) | 2018-05-17 | 2020-02-18 | Applied Materials, Inc. | Method to improve film stability |
US10704141B2 (en) | 2018-06-01 | 2020-07-07 | Applied Materials, Inc. | In-situ CVD and ALD coating of chamber to control metal contamination |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
JP2020017645A (ja) * | 2018-07-26 | 2020-01-30 | 株式会社Kokusai Electric | 基板処理装置 |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
US11380564B2 (en) * | 2018-09-19 | 2022-07-05 | Applied Materials, Inc. | Processing system having a front opening unified pod (FOUP) load lock |
US11885018B2 (en) | 2018-09-24 | 2024-01-30 | Lehigh University | High pressure spatial chemical vapor deposition system and related process |
WO2020092002A1 (en) | 2018-10-30 | 2020-05-07 | Applied Materials, Inc. | Methods for etching a structure for semiconductor applications |
KR20210077779A (ko) * | 2018-11-16 | 2021-06-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 강화된 확산 프로세스를 사용한 막 증착 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
KR102640172B1 (ko) | 2019-07-03 | 2024-02-23 | 삼성전자주식회사 | 기판 처리 장치 및 이의 구동 방법 |
US11101174B2 (en) | 2019-10-15 | 2021-08-24 | Applied Materials, Inc. | Gap fill deposition process |
US11948828B2 (en) | 2020-01-16 | 2024-04-02 | Applied Materials, Inc. | Pin-less substrate transfer apparatus and method for a processing chamber |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
USD941787S1 (en) | 2020-03-03 | 2022-01-25 | Applied Materials, Inc. | Substrate transfer blade |
KR20230040072A (ko) * | 2021-09-15 | 2023-03-22 | 주식회사 원익아이피에스 | 기판처리장치 |
US20240012393A1 (en) * | 2022-07-08 | 2024-01-11 | Applied Materials, Inc. | Sustainability monitoring platform with sensor support |
KR102606703B1 (ko) * | 2022-11-04 | 2023-11-29 | 주식회사 에이치피에스피 | 고압 열처리 장치 |
Family Cites Families (235)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4524587A (en) | 1967-01-10 | 1985-06-25 | Kantor Frederick W | Rotary thermodynamic apparatus and method |
US4167915A (en) * | 1977-03-09 | 1979-09-18 | Atomel Corporation | High-pressure, high-temperature gaseous chemical apparatus |
JPS61291032A (ja) * | 1985-06-17 | 1986-12-20 | Fujitsu Ltd | 真空装置 |
JPH0748489B2 (ja) | 1987-07-27 | 1995-05-24 | 富士通株式会社 | プラズマ処理装置 |
US5114513A (en) | 1988-10-27 | 1992-05-19 | Omron Tateisi Electronics Co. | Optical device and manufacturing method thereof |
US5167717A (en) * | 1989-02-15 | 1992-12-01 | Charles Boitnott | Apparatus and method for processing a semiconductor wafer |
JP2730695B2 (ja) | 1989-04-10 | 1998-03-25 | 忠弘 大見 | タングステン膜の成膜装置 |
US5175123A (en) | 1990-11-13 | 1992-12-29 | Motorola, Inc. | High-pressure polysilicon encapsulated localized oxidation of silicon |
JP2595132B2 (ja) * | 1990-11-26 | 1997-03-26 | 株式会社日立製作所 | 真空処理装置 |
US5050540A (en) | 1991-01-29 | 1991-09-24 | Arne Lindberg | Method of gas blanketing a boiler |
US5319212A (en) | 1992-10-07 | 1994-06-07 | Genus, Inc. | Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors |
US5624590A (en) * | 1993-04-02 | 1997-04-29 | Lucent Technologies, Inc. | Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies and an apparatus for practicing this technique |
US5607002A (en) | 1993-04-28 | 1997-03-04 | Advanced Delivery & Chemical Systems, Inc. | Chemical refill system for high purity chemicals |
US5880041A (en) | 1994-05-27 | 1999-03-09 | Motorola Inc. | Method for forming a dielectric layer using high pressure |
US5620524A (en) | 1995-02-27 | 1997-04-15 | Fan; Chiko | Apparatus for fluid delivery in chemical vapor deposition systems |
US5858051A (en) | 1995-05-08 | 1999-01-12 | Toshiba Machine Co., Ltd. | Method of manufacturing optical waveguide |
US5857368A (en) * | 1995-10-06 | 1999-01-12 | Applied Materials, Inc. | Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion |
JPH09296267A (ja) * | 1995-11-21 | 1997-11-18 | Applied Materials Inc | 高圧押出しによる、半導体基板における金属パスの製造装置および方法 |
US5895274A (en) | 1996-01-22 | 1999-04-20 | Micron Technology, Inc. | High-pressure anneal process for integrated circuits |
KR980012044A (ko) * | 1996-03-01 | 1998-04-30 | 히가시 데츠로 | 기판건조장치 및 기판건조방법 |
US5998305A (en) | 1996-03-29 | 1999-12-07 | Praxair Technology, Inc. | Removal of carbon from substrate surfaces |
US5738915A (en) | 1996-09-19 | 1998-04-14 | Lambda Technologies, Inc. | Curing polymer layers on semiconductor substrates using variable frequency microwave energy |
US6444037B1 (en) | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US6082950A (en) * | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
US5886864A (en) * | 1996-12-02 | 1999-03-23 | Applied Materials, Inc. | Substrate support member for uniform heating of a substrate |
US6136664A (en) | 1997-08-07 | 2000-10-24 | International Business Machines Corporation | Filling of high aspect ratio trench isolation |
US5963817A (en) | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
JP3199006B2 (ja) | 1997-11-18 | 2001-08-13 | 日本電気株式会社 | 層間絶縁膜の形成方法および絶縁膜形成装置 |
US6442980B2 (en) | 1997-11-26 | 2002-09-03 | Chart Inc. | Carbon dioxide dry cleaning system |
US6846739B1 (en) | 1998-02-27 | 2005-01-25 | Micron Technology, Inc. | MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer |
US6719516B2 (en) | 1998-09-28 | 2004-04-13 | Applied Materials, Inc. | Single wafer load lock with internal wafer transport |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US6612317B2 (en) | 2000-04-18 | 2003-09-02 | S.C. Fluids, Inc | Supercritical fluid delivery and recovery system for semiconductor wafer processing |
US6334266B1 (en) | 1999-09-20 | 2002-01-01 | S.C. Fluids, Inc. | Supercritical fluid drying system and method of use |
ATE418158T1 (de) | 1999-08-17 | 2009-01-15 | Applied Materials Inc | Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung |
US6299753B1 (en) | 1999-09-01 | 2001-10-09 | Applied Materials, Inc. | Double pressure vessel chemical dispenser unit |
US6500603B1 (en) | 1999-11-11 | 2002-12-31 | Mitsui Chemicals, Inc. | Method for manufacturing polymer optical waveguide |
KR100629255B1 (ko) * | 1999-11-12 | 2006-09-29 | 삼성전자주식회사 | 반도체 포토 공정용 베이크 장치 |
TW484170B (en) * | 1999-11-30 | 2002-04-21 | Applied Materials Inc | Integrated modular processing platform |
JP3388435B2 (ja) * | 1999-12-28 | 2003-03-24 | 株式会社ブイテックス | ゲートバルブ |
US6150286A (en) | 2000-01-03 | 2000-11-21 | Advanced Micro Devices, Inc. | Method of making an ultra thin silicon nitride film |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
JP2001250787A (ja) | 2000-03-06 | 2001-09-14 | Hitachi Kokusai Electric Inc | 基板処理装置および基板処理方法 |
US6331212B1 (en) * | 2000-04-17 | 2001-12-18 | Avansys, Llc | Methods and apparatus for thermally processing wafers |
US20040025908A1 (en) | 2000-04-18 | 2004-02-12 | Stephen Douglas | Supercritical fluid delivery system for semiconductor wafer processing |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US6852167B2 (en) | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
US6797336B2 (en) * | 2001-03-22 | 2004-09-28 | Ambp Tech Corporation | Multi-component substances and processes for preparation thereof |
TW544797B (en) | 2001-04-17 | 2003-08-01 | Kobe Steel Ltd | High-pressure processing apparatus |
US7080651B2 (en) | 2001-05-17 | 2006-07-25 | Dainippon Screen Mfg. Co., Ltd. | High pressure processing apparatus and method |
EP1271636A1 (en) | 2001-06-22 | 2003-01-02 | Infineon Technologies AG | Thermal oxidation process control by controlling oxidation agent partial pressure |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US20080268635A1 (en) * | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
US20030026677A1 (en) * | 2001-08-03 | 2003-02-06 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) | High-pressure process apparatus |
US6781801B2 (en) | 2001-08-10 | 2004-08-24 | Seagate Technology Llc | Tunneling magnetoresistive sensor with spin polarized current injection |
US6619304B2 (en) | 2001-09-13 | 2003-09-16 | Micell Technologies, Inc. | Pressure chamber assembly including non-mechanical drive means |
US20030098069A1 (en) | 2001-11-26 | 2003-05-29 | Sund Wesley E. | High purity fluid delivery system |
JP2003166065A (ja) * | 2001-11-30 | 2003-06-13 | Sekisui Chem Co Ltd | 放電プラズマ処理装置 |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
US7638727B2 (en) | 2002-05-08 | 2009-12-29 | Btu International Inc. | Plasma-assisted heat treatment |
US7521089B2 (en) * | 2002-06-13 | 2009-04-21 | Tokyo Electron Limited | Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers |
KR20050019129A (ko) * | 2002-06-13 | 2005-02-28 | 비오씨 에드워즈 인코포레이티드 | 기판 처리 장치 및 기판 처리 방법 |
US20070243317A1 (en) | 2002-07-15 | 2007-10-18 | Du Bois Dale R | Thermal Processing System and Configurable Vertical Chamber |
US20070212850A1 (en) | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
JP2004127958A (ja) | 2002-09-30 | 2004-04-22 | Kyoshin Engineering:Kk | 高圧アニール水蒸気処理を行なう装置及び方法 |
US20040060519A1 (en) | 2002-10-01 | 2004-04-01 | Seh America Inc. | Quartz to quartz seal using expanded PTFE gasket material |
US6889508B2 (en) | 2002-10-02 | 2005-05-10 | The Boc Group, Inc. | High pressure CO2 purification and supply system |
US20040112409A1 (en) | 2002-12-16 | 2004-06-17 | Supercritical Sysems, Inc. | Fluoride in supercritical fluid for photoresist and residue removal |
KR101058882B1 (ko) | 2003-02-04 | 2011-08-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링 |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
WO2004102055A1 (en) * | 2003-05-13 | 2004-11-25 | Applied Materials, Inc. | Methods and apparatus for sealing an opening of a processing chamber |
US6939794B2 (en) | 2003-06-17 | 2005-09-06 | Micron Technology, Inc. | Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device |
US7226512B2 (en) * | 2003-06-18 | 2007-06-05 | Ekc Technology, Inc. | Load lock system for supercritical fluid cleaning |
WO2005007283A2 (en) | 2003-07-08 | 2005-01-27 | Sundew Technologies, Llc | Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement |
JP4173781B2 (ja) | 2003-08-13 | 2008-10-29 | 株式会社神戸製鋼所 | 高圧処理方法 |
JP2005175068A (ja) | 2003-12-09 | 2005-06-30 | Hitachi Kokusai Electric Inc | 基板処理装置 |
KR20050062751A (ko) * | 2003-12-22 | 2005-06-27 | 어댑티브프라즈마테크놀로지 주식회사 | 이중 도어 게이트 밸브를 가지는 챔버 장비 |
US20050136684A1 (en) | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US7158221B2 (en) | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
US20050250347A1 (en) | 2003-12-31 | 2005-11-10 | Bailey Christopher M | Method and apparatus for maintaining by-product volatility in deposition process |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
US20050187647A1 (en) | 2004-02-19 | 2005-08-25 | Kuo-Hua Wang | Intelligent full automation controlled flow for a semiconductor furnace tool |
JP4393268B2 (ja) | 2004-05-20 | 2010-01-06 | 株式会社神戸製鋼所 | 微細構造体の乾燥方法 |
US20050269291A1 (en) | 2004-06-04 | 2005-12-08 | Tokyo Electron Limited | Method of operating a processing system for treating a substrate |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US7491658B2 (en) | 2004-10-13 | 2009-02-17 | International Business Machines Corporation | Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality |
JP2006120713A (ja) * | 2004-10-19 | 2006-05-11 | Tokyo Electron Ltd | 成膜方法 |
US20060156979A1 (en) | 2004-11-22 | 2006-07-20 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US9576824B2 (en) | 2005-02-22 | 2017-02-21 | Spts Technologies Limited | Etching chamber with subchamber |
WO2006101315A1 (en) | 2005-03-21 | 2006-09-28 | Pkl Co., Ltd. | Device and method for cleaning photomask |
US20060226117A1 (en) | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
US20120060868A1 (en) | 2005-06-07 | 2012-03-15 | Donald Gray | Microscale fluid delivery system |
CN101198903B (zh) | 2005-06-10 | 2011-09-07 | 奥贝达克特公司 | 利用中间印模的图案复制 |
JP4747693B2 (ja) | 2005-06-28 | 2011-08-17 | 住友電気工業株式会社 | 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法 |
US7361231B2 (en) | 2005-07-01 | 2008-04-22 | Ekc Technology, Inc. | System and method for mid-pressure dense phase gas and ultrasonic cleaning |
US8148271B2 (en) | 2005-08-05 | 2012-04-03 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method |
US7534080B2 (en) | 2005-08-26 | 2009-05-19 | Ascentool, Inc. | Vacuum processing and transfer system |
KR100696178B1 (ko) | 2005-09-13 | 2007-03-20 | 한국전자통신연구원 | 광 도파로 마스터 및 그 제조 방법 |
US8926731B2 (en) | 2005-09-13 | 2015-01-06 | Rasirc | Methods and devices for producing high purity steam |
CN101268012B (zh) | 2005-10-07 | 2012-12-26 | 株式会社尼康 | 微小构造体及其制造方法 |
KR101101757B1 (ko) * | 2005-11-07 | 2012-01-05 | 주성엔지니어링(주) | 제조비용을 절감한 진공챔버 |
US7387968B2 (en) | 2005-11-08 | 2008-06-17 | Tokyo Electron Limited | Batch photoresist dry strip and ash system and process |
KR20070068596A (ko) * | 2005-12-27 | 2007-07-02 | 삼성전자주식회사 | 베이크 장치 |
US20070187386A1 (en) | 2006-02-10 | 2007-08-16 | Poongsan Microtec Corporation | Methods and apparatuses for high pressure gas annealing |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
JP2007242791A (ja) | 2006-03-07 | 2007-09-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US7794546B2 (en) | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US8062408B2 (en) | 2006-05-08 | 2011-11-22 | The Board Of Trustees Of The University Of Illinois | Integrated vacuum absorption steam cycle gas separation |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
DE102007030006B4 (de) * | 2006-07-19 | 2009-12-17 | Vat Holding Ag | Vakuumventil |
US20080169183A1 (en) | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
JP2008192642A (ja) | 2007-01-31 | 2008-08-21 | Tokyo Electron Ltd | 基板処理装置 |
US8043432B2 (en) * | 2007-02-12 | 2011-10-25 | Tokyo Electron Limited | Atomic layer deposition systems and methods |
US20080233404A1 (en) | 2007-03-22 | 2008-09-25 | 3M Innovative Properties Company | Microreplication tools and patterns using laser induced thermal embossing |
JP5135856B2 (ja) | 2007-03-31 | 2013-02-06 | 東京エレクトロン株式会社 | トラップ装置、排気系及びこれを用いた処理システム |
KR101442238B1 (ko) | 2007-07-26 | 2014-09-23 | 주식회사 풍산마이크로텍 | 고압 산소 열처리를 통한 반도체 소자의 제조방법 |
US7951728B2 (en) | 2007-09-24 | 2011-05-31 | Applied Materials, Inc. | Method of improving oxide growth rate of selective oxidation processes |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US7776740B2 (en) | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
US20090194026A1 (en) * | 2008-01-31 | 2009-08-06 | Burrows Brian H | Processing system for fabricating compound nitride semiconductor devices |
JP4815464B2 (ja) | 2008-03-31 | 2011-11-16 | 株式会社日立製作所 | 微細構造転写スタンパ及び微細構造転写装置 |
CN101609796B (zh) * | 2008-06-20 | 2012-03-21 | 福建钧石能源有限公司 | 薄膜形成方法和薄膜太阳能电池的制造方法 |
US7655532B1 (en) | 2008-07-25 | 2010-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI film property using SOD post-treatment |
JP2010056541A (ja) | 2008-07-31 | 2010-03-11 | Semiconductor Energy Lab Co Ltd | 半導体装置およびその作製方法 |
US8297591B2 (en) * | 2008-08-29 | 2012-10-30 | Applied Materials, Inc. | Slit valve control |
US8153533B2 (en) | 2008-09-24 | 2012-04-10 | Lam Research | Methods and systems for preventing feature collapse during microelectronic topography fabrication |
US7891228B2 (en) | 2008-11-18 | 2011-02-22 | Mks Instruments, Inc. | Dual-mode mass flow verification and mass flow delivery system and method |
KR101027325B1 (ko) * | 2008-11-24 | 2011-04-06 | 주식회사 아토 | 기판처리장치 |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
FR2940322B1 (fr) * | 2008-12-19 | 2011-02-11 | Alcatel Lucent | Procede de descente en pression dans un sas de chargement et de dechargement et equipement associe |
JP5208800B2 (ja) | 2009-02-17 | 2013-06-12 | 東京エレクトロン株式会社 | 基板処理システム及び基板搬送方法 |
JP2010205854A (ja) | 2009-03-02 | 2010-09-16 | Fujitsu Semiconductor Ltd | 半導体装置の製造方法 |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
JP4415062B1 (ja) | 2009-06-22 | 2010-02-17 | 富士フイルム株式会社 | 薄膜トランジスタ及び薄膜トランジスタの製造方法 |
KR20110000960A (ko) | 2009-06-29 | 2011-01-06 | 삼성전자주식회사 | 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법 |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
JP2011066100A (ja) | 2009-09-16 | 2011-03-31 | Bridgestone Corp | 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法 |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
KR101995704B1 (ko) | 2009-11-20 | 2019-07-03 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치의 제작 방법 |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8647992B2 (en) | 2010-01-06 | 2014-02-11 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
JP2013516788A (ja) | 2010-01-07 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvd用のインサイチュオゾン硬化 |
EP2526339A4 (en) | 2010-01-21 | 2015-03-11 | Powerdyne Inc | PRODUCTION OF STEAM FROM A CARBON SUBSTANCE |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
JP2013521650A (ja) | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
JP5506461B2 (ja) * | 2010-03-05 | 2014-05-28 | 東京エレクトロン株式会社 | 超臨界処理装置及び超臨界処理方法 |
CN101871043B (zh) | 2010-06-25 | 2012-07-18 | 东莞市康汇聚线材科技有限公司 | 一种退火炉蒸汽发生器及其控制方法 |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
JP2012049446A (ja) | 2010-08-30 | 2012-03-08 | Toshiba Corp | 超臨界乾燥方法及び超臨界乾燥システム |
EP2426720A1 (en) | 2010-09-03 | 2012-03-07 | Applied Materials, Inc. | Staggered thin film transistor and method of forming the same |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
JP5806827B2 (ja) * | 2011-03-18 | 2015-11-10 | 東京エレクトロン株式会社 | ゲートバルブ装置及び基板処理装置並びにその基板処理方法 |
JP5450494B2 (ja) | 2011-03-25 | 2014-03-26 | 株式会社東芝 | 半導体基板の超臨界乾燥方法 |
KR20140027917A (ko) | 2011-03-25 | 2014-03-07 | 이서영 | 광도파로 및 그 제조방법 |
US20120252210A1 (en) | 2011-03-30 | 2012-10-04 | Tokyo Electron Limited | Method for modifying metal cap layers in semiconductor devices |
WO2012133583A1 (ja) | 2011-03-30 | 2012-10-04 | 大日本印刷株式会社 | 超臨界乾燥装置及び超臨界乾燥方法 |
US9299581B2 (en) | 2011-05-12 | 2016-03-29 | Applied Materials, Inc. | Methods of dry stripping boron-carbon films |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
GB201110117D0 (en) | 2011-06-16 | 2011-07-27 | Fujifilm Mfg Europe Bv | method and device for manufacturing a barrie layer on a flexible substrate |
KR101568748B1 (ko) | 2011-11-01 | 2015-11-12 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체 |
JP2013122493A (ja) | 2011-12-09 | 2013-06-20 | Furukawa Electric Co Ltd:The | 光分岐素子および光分岐回路 |
JP2013154315A (ja) | 2012-01-31 | 2013-08-15 | Ricoh Co Ltd | 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置 |
US8993458B2 (en) | 2012-02-13 | 2015-03-31 | Applied Materials, Inc. | Methods and apparatus for selective oxidation of a substrate |
US8871656B2 (en) | 2012-03-05 | 2014-10-28 | Applied Materials, Inc. | Flowable films using alternative silicon precursors |
US20130337171A1 (en) | 2012-06-13 | 2013-12-19 | Qualcomm Mems Technologies, Inc. | N2 purged o-ring for chamber in chamber ald system |
KR101224520B1 (ko) | 2012-06-27 | 2013-01-22 | (주)이노시티 | 프로세스 챔버 |
KR20140003776A (ko) | 2012-06-28 | 2014-01-10 | 주식회사 메카로닉스 | 고 저항 산화아연 박막의 제조방법 |
US20150309073A1 (en) | 2012-07-13 | 2015-10-29 | Northwestern University | Multifunctional graphene coated scanning tips |
JP2014019912A (ja) | 2012-07-19 | 2014-02-03 | Tokyo Electron Ltd | タングステン膜の成膜方法 |
US8846448B2 (en) | 2012-08-10 | 2014-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Warpage control in a package-on-package structure |
KR101680152B1 (ko) | 2012-08-24 | 2016-11-28 | 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 | 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법 |
KR102002782B1 (ko) | 2012-09-10 | 2019-07-23 | 삼성전자주식회사 | 팽창성 부재를 사용하는 반도체 장치의 제조 방법 |
JP2014060256A (ja) | 2012-09-18 | 2014-04-03 | Tokyo Electron Ltd | 処理システム |
US9157730B2 (en) * | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
WO2014085511A2 (en) | 2012-11-27 | 2014-06-05 | The Regents Of The University Of California | Polymerized metal-organic material for printable photonic devices |
JP2014141739A (ja) | 2012-12-27 | 2014-08-07 | Tokyo Electron Ltd | 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス |
US20140216498A1 (en) | 2013-02-06 | 2014-08-07 | Kwangduk Douglas Lee | Methods of dry stripping boron-carbon films |
CN104995333B (zh) | 2013-02-19 | 2017-09-22 | 应用材料公司 | 使用可流动式cvd膜的hdd图案化 |
KR101443792B1 (ko) | 2013-02-20 | 2014-09-26 | 국제엘렉트릭코리아 주식회사 | 건식 기상 식각 장치 |
KR20140106977A (ko) | 2013-02-27 | 2014-09-04 | 삼성전자주식회사 | 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법 |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9680095B2 (en) | 2013-03-13 | 2017-06-13 | Macronix International Co., Ltd. | Resistive RAM and fabrication method |
US20140271097A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10224258B2 (en) | 2013-03-22 | 2019-03-05 | Applied Materials, Inc. | Method of curing thermoplastics with microwave energy |
US9538586B2 (en) | 2013-04-26 | 2017-01-03 | Applied Materials, Inc. | Method and apparatus for microwave treatment of dielectric films |
CN105247664B (zh) | 2013-05-31 | 2018-04-10 | 株式会社日立国际电气 | 衬底处理装置、半导体器件的制造方法及炉口盖体 |
US20140370451A1 (en) | 2013-06-18 | 2014-12-18 | Tokyo Ohka Kogyo Co., Ltd. | Heating apparatus and heating method |
JP6196481B2 (ja) | 2013-06-24 | 2017-09-13 | 株式会社荏原製作所 | 排ガス処理装置 |
KR101542803B1 (ko) | 2013-07-09 | 2015-08-07 | 주식회사 네오세미텍 | 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법 |
US9178103B2 (en) | 2013-08-09 | 2015-11-03 | Tsmc Solar Ltd. | Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities |
US9748105B2 (en) | 2013-08-16 | 2017-08-29 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (WF6) etchback |
US9548200B2 (en) | 2013-08-21 | 2017-01-17 | Applied Materials, Inc. | Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications |
JP6226648B2 (ja) | 2013-09-04 | 2017-11-08 | 昭和電工株式会社 | SiCエピタキシャルウェハの製造方法 |
JP6129712B2 (ja) | 2013-10-24 | 2017-05-17 | 信越化学工業株式会社 | 過熱水蒸気処理装置 |
KR20150062545A (ko) * | 2013-11-29 | 2015-06-08 | 삼성전기주식회사 | 베이크 장치 |
US9406547B2 (en) | 2013-12-24 | 2016-08-02 | Intel Corporation | Techniques for trench isolation using flowable dielectric materials |
CN103745978B (zh) | 2014-01-03 | 2016-08-17 | 京东方科技集团股份有限公司 | 显示装置、阵列基板及其制作方法 |
US20160319422A1 (en) * | 2014-01-21 | 2016-11-03 | Applied Materials, Inc. | Thin film encapsulation processing system and process kit permitting low-pressure tool replacement |
US9257527B2 (en) | 2014-02-14 | 2016-02-09 | International Business Machines Corporation | Nanowire transistor structures with merged source/drain regions using auxiliary pillars |
US9818603B2 (en) | 2014-03-06 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
KR101571715B1 (ko) | 2014-04-23 | 2015-11-25 | 주식회사 풍산 | 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법 |
CN104047676A (zh) | 2014-06-14 | 2014-09-17 | 马根昌 | 改良式对冲消声器 |
CN104089491B (zh) | 2014-07-03 | 2015-11-04 | 肇庆宏旺金属实业有限公司 | 退火炉的余热回收利用系统 |
US9257314B1 (en) | 2014-07-31 | 2016-02-09 | Poongsan Corporation | Methods and apparatuses for deuterium recovery |
JPWO2016038664A1 (ja) | 2014-09-08 | 2017-04-27 | 三菱電機株式会社 | 半導体アニール装置 |
US9773865B2 (en) | 2014-09-22 | 2017-09-26 | International Business Machines Corporation | Self-forming spacers using oxidation |
US9362107B2 (en) | 2014-09-30 | 2016-06-07 | Applied Materials, Inc. | Flowable low-k dielectric gapfill treatment |
US20160118391A1 (en) | 2014-10-22 | 2016-04-28 | SanDisk Technologies, Inc. | Deuterium anneal of semiconductor channels in a three-dimensional memory structure |
KR102079501B1 (ko) | 2014-10-24 | 2020-02-20 | 버슘머트리얼즈 유에스, 엘엘씨 | 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 |
US9543141B2 (en) | 2014-12-09 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for curing flowable layer |
US9859039B2 (en) | 2015-02-13 | 2018-01-02 | Alexander Otto | Multifilament superconducting wire with high resistance sleeves |
KR101681190B1 (ko) | 2015-05-15 | 2016-12-02 | 세메스 주식회사 | 기판 건조 장치 및 방법 |
WO2016191621A1 (en) | 2015-05-27 | 2016-12-01 | Applied Materials, Inc. | Methods and apparatus for a microwave batch curing process |
US9646850B2 (en) | 2015-07-06 | 2017-05-09 | Globalfoundries Inc. | High-pressure anneal |
JP6582676B2 (ja) * | 2015-07-24 | 2019-10-02 | 東京エレクトロン株式会社 | ロードロック装置、及び基板処理システム |
US9484406B1 (en) | 2015-09-03 | 2016-11-01 | Applied Materials, Inc. | Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications |
US9716142B2 (en) | 2015-10-12 | 2017-07-25 | International Business Machines Corporation | Stacked nanowires |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
JP6856651B2 (ja) | 2016-01-05 | 2021-04-07 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 |
US9570551B1 (en) | 2016-02-05 | 2017-02-14 | International Business Machines Corporation | Replacement III-V or germanium nanowires by unilateral confined epitaxial growth |
JP6240695B2 (ja) | 2016-03-02 | 2017-11-29 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
TWI680535B (zh) | 2016-06-14 | 2019-12-21 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
US9876019B1 (en) | 2016-07-13 | 2018-01-23 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits with programmable memory and methods for producing the same |
EP3520136A4 (en) | 2016-09-30 | 2020-05-06 | Applied Materials, Inc. | METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES |
US10224224B2 (en) * | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
-
2017
- 2017-12-07 US US15/835,356 patent/US10224224B2/en active Active
-
2018
- 2018-03-09 KR KR1020227033661A patent/KR102509015B1/ko active IP Right Grant
- 2018-03-09 KR KR1020197029776A patent/KR102310823B1/ko active IP Right Grant
- 2018-03-09 US US15/917,365 patent/US20180261480A1/en active Pending
- 2018-03-09 KR KR1020217031754A patent/KR102449794B1/ko active IP Right Grant
- 2018-03-09 JP JP2019548976A patent/JP6983900B2/ja active Active
- 2018-03-09 EP EP18764622.9A patent/EP3593378B1/en active Active
- 2018-03-09 CN CN201880029402.0A patent/CN110603631B/zh active Active
- 2018-03-09 WO PCT/US2018/021715 patent/WO2018165533A1/en active Application Filing
- 2018-03-09 TW TW112144422A patent/TW202412157A/zh unknown
- 2018-03-09 TW TW107108016A patent/TWI826370B/zh active
- 2018-03-09 TW TW112125001A patent/TW202345268A/zh unknown
- 2018-03-09 CN CN202310755012.4A patent/CN116936405A/zh active Pending
-
2019
- 2019-03-04 US US16/292,289 patent/US10529603B2/en active Active
-
2021
- 2021-09-17 JP JP2021152003A patent/JP7253015B2/ja active Active
-
2023
- 2023-03-24 JP JP2023047795A patent/JP7508628B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
JP2020510315A (ja) | 2020-04-02 |
EP3593378A4 (en) | 2020-12-23 |
KR102509015B1 (ko) | 2023-03-14 |
EP3593378B1 (en) | 2023-04-26 |
TW202412157A (zh) | 2024-03-16 |
CN110603631A (zh) | 2019-12-20 |
WO2018165533A1 (en) | 2018-09-13 |
JP6983900B2 (ja) | 2021-12-17 |
KR20190118685A (ko) | 2019-10-18 |
EP3593378A1 (en) | 2020-01-15 |
US10529603B2 (en) | 2020-01-07 |
US20180258533A1 (en) | 2018-09-13 |
JP2023089011A (ja) | 2023-06-27 |
KR20210123423A (ko) | 2021-10-13 |
TWI826370B (zh) | 2023-12-21 |
KR102310823B1 (ko) | 2021-10-12 |
TW202345268A (zh) | 2023-11-16 |
US20190198367A1 (en) | 2019-06-27 |
US10224224B2 (en) | 2019-03-05 |
JP2022020619A (ja) | 2022-02-01 |
KR102449794B1 (ko) | 2022-10-04 |
CN116936405A (zh) | 2023-10-24 |
JP7508628B2 (ja) | 2024-07-01 |
US20180261480A1 (en) | 2018-09-13 |
JP7253015B2 (ja) | 2023-04-05 |
CN110603631B (zh) | 2023-07-11 |
KR20220137169A (ko) | 2022-10-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7508628B2 (ja) | 高圧ウエハ処理システム及び関連方法 | |
JP7492619B2 (ja) | 高圧処理によるタングステンの脱フッ素化 | |
CN111066132B (zh) | 用于高压处理腔室的气体输送系统 | |
TW201931496A (zh) | 用於高壓處理腔室的氣體分配系統 |