CN116936405A - 高压晶片处理系统和相关方法 - Google Patents

高压晶片处理系统和相关方法 Download PDF

Info

Publication number
CN116936405A
CN116936405A CN202310755012.4A CN202310755012A CN116936405A CN 116936405 A CN116936405 A CN 116936405A CN 202310755012 A CN202310755012 A CN 202310755012A CN 116936405 A CN116936405 A CN 116936405A
Authority
CN
China
Prior art keywords
chamber
substrate
processing system
high pressure
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310755012.4A
Other languages
English (en)
Inventor
梁奇伟
斯里尼瓦斯·D·内曼尼
阿迪卜·汗
文卡塔·拉维尚卡·卡西布特拉
苏坦·马立克
肖恩·S·康
基思·塔特森·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116936405A publication Critical patent/CN116936405A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种用于处理基板的高压处理系统,包括:第一腔室;基座,定位在第一腔室内,以支撑基板;第二腔室,邻近第一腔室;真空处理系统,配置成将第二腔室内的压力降低到接近真空;阀组件,位于第一腔室和第二腔室之间,以将第一腔室内的压力与第二腔室内的压力隔离;和气体输送系统,配置成将处理气体引入第一腔室,并当处理气体在第一腔室中时且当第一腔室与第二腔室隔离时,增加第一腔室内的压力到至少10个大气压。

Description

高压晶片处理系统和相关方法
本申请是申请日为2018年3月9日申请的申请号为201880029402.0,并且发明名称为“高压晶片处理系统和相关方法”的发明专利申请的分案申请。
技术领域
本说明书涉及晶片处理系统和相关方法。
背景技术
微电子电路和其他微尺寸装置通常由基板或晶片(诸如硅或其他半导体材料晶片)所制造。将多个金属层施加到基板上,以形成微电子或其他微尺寸部件,或提供电互连件。这些金属层(如,铜)被电镀到基板上,并以光刻、电镀、蚀刻、抛光,或其他步骤的顺序形成部件和互连件。
为了获得期望的材料性质,通常使基板通过退火处理,其中基板被快速加热,通常加热到约200-500℃,且更通常加热到约300-400℃。基板可保持在这些温度持续相对较短的时间,如,60-300秒。接着快速冷却基板,其中整个处理通常只需几分钟。退火可用于改变基板上的层的材料性质。它也可用于激活掺杂剂,驱动在基板上的膜之间的掺杂剂,改变膜到膜或膜到基板的界面,使沉积膜致密化,或修复离子注入(ion implantation)造成的损伤。
随着微电子装置和互连件的特征尺寸变小,可允许的缺陷率显著地降低。一些缺陷是由污染物颗粒引起的。其他缺陷可能是由于晶片的某些区域的不完整处理,如,未能在沟槽的底部处生长膜而导致的。
过去已经使用各种退火腔室。在单晶片处理配备中,这些退火腔室通常将基板定位在加热和冷却元件之间或之上,以控制基板的温度分布。然而,实现精确和可重复的温度分布以及可接受的缺陷水平可能会带来工程挑战。
发明内容
在一个方面中,一种用于处理基板的高压处理系统,包括:第一腔室;基座,定位在第一腔室内,以支撑基板;第二腔室,邻近第一腔室;真空处理系统,配置成降低第二腔室内的压力到接近真空;阀组件,位于第一腔室和第二腔室之间,以将第一腔室内的压力与第二腔室内的压力隔离;气体输送系统,配置成将处理气体引入第一腔室并当处理气体在第一腔室中时且当第一腔室与第二腔室隔离时,增加第一腔室内的压力到至少为10个大气压;和控制器。控制器被配置为操作气体输送系统,以将处理气体引入到第一腔室中,并打开阀组件,以使基板能够从第一腔室传送到第二腔室。
实施方式可包括一个或多个以下特征。
阀组件可包括位于第一腔室和第二腔室之间的狭缝阀。狭缝阀可包括:狭缝,所述狭缝通过在第一腔室和第二腔室之间的壁;和臂,所述臂可在第一位置和第二位置之间移动,在第一位置中,臂覆盖狭缝,以在第一腔室和第二腔室之间形成密封,在第二位置中,狭缝未被覆盖。基板可通过狭缝阀从第一腔室传送到第二腔室。臂可被配置成在第一位置与界定第一腔室的壁的内表面啮合,以在第一腔室与第二腔室之间形成密封。致动器可相对于狭缝而移动臂。致动器可耦接到位于第二腔室的外部或第二腔室内的臂的近端。臂可被配置成在第一位置啮合第一腔室的外表面,以在第一腔室与第二腔室之间形成密封。
基座可固定到界定第一腔室的壁。界定第一腔室的壁可相对于界定第一腔室的底座移动,以提供阀组件。基座可从第一腔室的顶板(ceiling)悬挂下来。
气体输送系统可包括排气系统,以排出第一腔室内的气体,从而使第一腔室减压。控制器可被配置为在阀组件打开之前操作排气系统,以使第一腔室减压。真空处理系统可被配置成在第二腔室内产生压力,压力小于1个大气压。
加热元件可被配置成当基板被支撑在基座上时将热量施加到基板,以退火基板。加热元件可定位在基座内。加热元件可定位在界定第一腔室的壁内。
机械手臂(robot arm)可被配置成将基板通过阀组件从第一腔室传送到第二腔室。升降销组件可从基座升降基板。
一种半导体制造设备,可包括:中央真空腔室,具有定位于其中的机械手;工厂界面模块(factory interface module),耦接到中央真空腔室;低压基板处理系统,藉由第一真空阀耦接到中央真空腔室;和以上所述的高压处理系统。第二腔室可藉由第二真空阀耦接到中央真空腔室。
在另一方面中,一种半导体处理方法,包括以下步骤:将处理气体引入第一腔室中,以处理基板上的层并在处理所述层期间在第一腔室内产生至少10个大气压的压力;和将基板直接从第一腔室传送到第二腔室,第二腔室具有小于1个大气压的压力。
实施方式可包括一个或多个以下特征。在引入处理气体之后且在传送基板之前,处理气体可从第一腔室排出,以降低第一腔室内的压力。在传送基板之前,可打开在第一腔室和第二腔室之间的狭缝阀。基板可通过狭缝阀传送到第二腔室。打开狭缝阀可包括使臂从第一位置移动到第二位置,在第一位置中,臂和狭缝阀在第一腔室与第二腔室之间形成密封,在第二位置中,狭缝阀打开。在处理气体被引入之后,可将热量施加到基板上,以退火基板。基板可包括硅材料。
前述的优点可包括(但不限于)下文和于此其他地方所描述的那些。根据某些方面的高压处理系统可提高基板上的材料层的处理(如,退火或沉积)的彻底性。例如,藉由在高压环境中退火或沉积,所得材料可更容易地渗入基板上的复杂表面几何形状(如,蚀刻几何形状)。因此,在处理期间中可能会发生更少的缺陷。
在本说明书中描述的主题的一个或多个实施方式的细节在随附的附图和下面的描述中阐述。从说明书、附图和权利要求书中,其他潜在的特征、方面和优点将变得显而易见。
附图说明
图1是处理平台的图。
图2是高压系统的图。
图3是高压处理系统的示例的示意性侧视图。
图4是高压处理系统的另一示例的示意性侧视图。
图5是高压处理系统的另一示例的示意性侧视图。
图6是高压处理系统的另一示例的示意性侧视图。
图7是基座的示意性侧视图。
具体实施方式
如上所述,一些缺陷可能是由于基板的某些区域的不完整处理造成的。但是,高压处理能够提高跨越基板的处理的一致性。特别地,可在高压环境中进行退火或沉积;这能够有助于提高材料层的处理彻底性。结果,层能够跨越基板更均匀地形成或改性。高压处理还可提供在较低压力下不可用的化学反应。
另一个问题是,某些材料(诸如铜)当暴露于氧气时会在高于约70℃的温度下迅速氧化。若铜或其他材料氧化,则基板可能不再可用,或氧化物层必须首先在进一步处理之前被移除。这些对于高效制造都是不可接受的选择。因此,当基板温度超过约70℃时,设计因素是将基板与氧隔离。由于氧当然存在于环境空气中,因此在退火期间避免铜的氧化也会带来工程上的挑战。如本文所述,基板可在高压处理腔室和低压(如,接近真空)环境中的不同处理腔室之间传送,以避免基板的污染和氧化。
晶片的温度均匀性是另一个重要的设计因素,因为它影响晶片上的铜或其他材料的晶体结构。处理系统(如,基座配置)可提供晶片的均匀加热。
另一个考虑是可服务性(serviceability)。能够尽可能快速和有效地恢复或维修腔室是非常重要的。于此描述的腔室配置可容易维修。
图1显示了适用于执行于此所述的物理气相沉积、化学气相沉积和/或退火处理的至少一个实施方式的集成多腔室基板处理系统。通常,多腔室基板处理系统包括至少一个高压处理腔室(如,能够在10个大气压以上的压力下操作),以执行高压处理(诸如沉积或退火);和至少一个低压处理腔室(如,能够在低于约100毫托的压力下操作),以执行低压处理(诸如蚀刻、沉积、或热处理)。在一些实施方式中,多腔室处理系统是具有处于低压的中央传送腔室且可从该中央传送腔室够到(access)多个处理腔室的群集工具。
于此描述的处理和系统的一些实施方式涉及形成用于特征结构限定的材料层(如,金属和金属硅化物阻挡层)。例如,第一金属层沉积在硅基板上,并退火以形成金属硅化物层。在金属硅化物层上接着沉积第二金属层,以填充特征结构。用于形成金属硅化物层的退火处理可在多个退火步骤中进行。
图1是一个实施方式的示意性顶视图,处理平台100包括:两个传送腔室102、104;分别位于传送腔室102、104中的传送机械手106、108;和设置在两个传送腔室102、104上的处理腔室110、112、114、116、118。第一传送腔室102和第二传送腔室104是与相邻处理腔室110、112、114、116、118界面连接的中央真空腔室。第一传送腔室102和第二传送腔室104藉由通过腔室(pass-through chamber)120分开,通过腔室120可包括冷却腔室或预热腔室。当第一传送腔室102和第二传送腔室104在不同的压力下操作时,通过腔室120也可在基板处理期间抽真空或通风。例如,第一传送腔室102可在约100毫托和约5托之间(诸如约40毫托)操作,且第二传送腔室104可在约1×10-5托和约1×10-8托之间(诸如约1×10-7托)操作。
处理平台100藉由对控制器122编程而自动化。控制器122可操作用于处理平台100的腔室的每一者的单独操作,以处理基板。
第一传送腔室102与两个除气腔室124、两个装载锁定腔室(load lock chamber)128、反应性预清洁腔室118、至少一个物理气相沉积腔室(优选长抛式(long throw)物理气相沉积(PVD)腔室110)和通过腔室120耦接。预清洁腔室可为可从加州圣克拉拉市的应用材料公司购得的PreClean II腔室。通过装载锁定腔室128将基板(未示出)装载到处理平台100中。例如,工厂介面模块132(若存在)将负责从人类操作者或自动基板处理系统接收一个或多个基板(如,晶片、晶片盒(cassette),或晶片封闭舱(enclosed pod))。若适用的话,工厂介面模块132可打开基板的盒或舱,并将基板移入和移出装载锁定腔室128。处理腔室110、112、114、116、118从传送腔室102、104接收基板、处理基板,并允许基板被传送回到传送腔室102、104。在被装载到处理平台100中之后,基板顺序地分别在除气腔室124和预清洁腔室118中被除气和清洁。
处理腔室的每一个藉由隔离阀与传送腔室102、104隔离,隔离阀允许处理腔室在与传送腔室102、104不同的真空水平下操作,并防止处理腔室中使用的任何气体被引入传送腔室中。装载锁定腔室128也藉由隔离阀与传送腔室102、104隔离。每个装载锁定腔室128具有通向外部环境(如,通向工厂介面模块132)的门。在正常操作中,装载有基板的盒通过门从工厂介面模块132放入装载锁定腔室128中且门被关闭。接着将装载锁定腔室128抽空至与传送腔室102相同的压力,并打开在装载锁定腔室128与传送腔室102之间的隔离阀。传送腔室102中的机械手被移动到位并从装载锁定腔室128移除一个基板。装载锁定腔室128优选地配备有升降器机构(elevator mechanism),以便从盒移除一个基板,升降器移动盒中的晶片堆叠,以将另一个晶片定位在传送平面中,使得它可定位在机械手叶片上。
传送腔室102中的传送机械手106接着与基板一起旋转,使得基板与处理腔室位置对齐。处理腔室被任何有毒气体(toxic gas)冲洗,达到与传送腔室相同的压力水平,并打开隔离阀。传送机械手106接着将晶片移动到处理腔室中,在那里它从机械手上升起。传送机械手106接着从处理腔室缩回并关闭隔离阀。处理腔室接着经历一系列操作,以在晶片上执行指定的处理。当完成时,处理腔室返回到与传送腔室102相同的环境,并打开隔离阀。当整个晶片盒已被处理时,传送机械手106从处理腔室移除晶片,并接着将晶片移动到另一个处理腔室用于另一操作,或在装载锁定腔室128中替换晶片,以从处理平台100移除。
传送机械手106、108分别包括在不同处理腔室之间支撑和移动基板的机械手臂107、109。传送机械手106在除气腔室124和预清洁腔室118之间移动基板。接着可将基板传送到长抛式PVD腔室110,以在基板上沉积材料。
第二传送腔室104耦接到处理腔室110、112、114、130的群集。处理腔室110、112可为化学气相沉积(CVD)腔室,用于按照操作者的期望而沉积材料(诸如钨)。合适的CVD腔室的示例包括可从位于加州圣克拉拉市的应用材料公司购得的W×ZTM腔室。CVD腔室优选适于藉由原子层沉积(ALD)技术及藉由传统的化学气相沉积技术而沉积材料。处理腔室114和130可为可在真空或接近真空压力下退火基板的快速热退火(RTA)腔室或快速热处理(RTP)腔室。RTA腔室114的示例是可从加州圣克拉拉市的应用材料公司购得的RADIANCETM腔室。替代地,处理腔室114和130可为能够执行高温CVD沉积、退火处理、或原位沉积和退火处理的W×ZTM沉积腔室。经PVD处理的基板经由通过腔室120从第一传送腔室102移动到第二传送腔室104中。此后,传送机械手108在处理腔室110、112、114、130的一个或多个之间移动基板,用于处理所需的材料沉积和退火。
RTA腔室(未示出)也可设置在处理平台100的第一传送腔室102上,以在基板从平台100移除或传送到第二传送腔室104之前提供沉积后退火处理。
虽然未示出,但是多个真空泵设置成与每个传送腔室和每个处理腔室流体连通,以独立地调节相应腔室中的压力。泵可建立从装载锁定腔室到处理腔室跨越设备的增加压力的真空梯度。
替代地或附加地,等离子体蚀刻腔室(诸如由加州圣克拉拉市的应用材料公司制造的解耦等离子体源腔室(DPSTM腔室))可耦接到处理平台100或在单独的处理系统中,用于在PVD金属沉积和/或退火沉积金属之后蚀刻基板表面,以移除未反应的金属。例如,在藉由退火处理由钴和硅材料形成硅化钴时,可使用蚀刻腔室从基板表面移除未反应的钴材料。
其他蚀刻处理和设备(诸如湿法蚀刻腔室)可与于此描述的处理和设备结合使用。
图2示出了受控高压系统200,受控高压系统200产生用于处理基板的高压环境和当基板在处理腔室之间传送时用于基板的低压环境。受控高压系统200包括第一高压腔室202和第二真空腔室204。第一腔室202可对应于处理平台100的处理腔室110、112、114、116、118、130的一个,且第二腔室204可对应于处理平台100的传送腔室102、104的一个。或者,在一些实施方式中,处理腔室110、112、114、116、118、130的一个包括第一腔室202和第二腔室204两者。第一腔室202对应于内腔室,且第二腔室204对应于围绕内腔室的外腔室。
第一腔室202内的压力可独立于第二腔室204中的压力而被控制。若第一腔室202和第二腔室204与传送腔室不同,则第一腔室202和第二腔室204可具有独立于传送腔室内的压力而控制的压力。受控高压系统200进一步包括气体输送系统206、真空处理系统208和控制器210。在一些示例中,处理平台100的控制器122可包括控制器210。
第二腔室204是邻近于第一腔室202的低压腔室。在一些实施方式中,第二腔室204也围绕第一腔室202。第二腔室204可对应于接收在不同处理腔室之间的基板的传送腔室(如,传送腔室102或传送腔室104)。第二腔室204的低压环境可抑制基板或形成在基板上的材料的污染和/或氧化。
操作气体输送系统206以对第一腔室202加压和减压。第一腔室202是从气体输送系统206接收处理气体并建立高压(如,处于至少10个大气压的压力)的高压处理腔室。处理气体可与正在处理的层相互作用,以便对该层进行退火(如,藉由改性该层或与材料反应形成新的层)。处理气体可包括氢(如,处理气体可为氢气H2)。或者,处理气体可为用作要在基板上形成的材料的来源的前驱物气体(如,用于沉积处理)。为了对第一腔室202加压,气体输送系统206将处理气体引入第一腔室202中。在一些情况下,气体输送系统206还可将蒸气引入第一腔室202中,以增加第一腔室202内的压力。
气体输送系统206可包括排气系统211,以从第一腔室202排出处理气体,从而使第一腔室302减压。操作真空处理系统208以将第二腔室204的压力控制在真空或接近真空的压力(如,小于1毫托)。例如,真空处理系统208将第二腔室204内的压力降低至接近真空,从而产生用于传送基板的合适低压环境。
在第一腔室202和第二腔室204之间的阀组件212将第一腔室202内的压力与第二腔室204内的压力隔离。因此,第一腔室202内的高压环境可与第二腔室204内的低压环境分离并密封。阀组件212可被打开以使基板能够从第一腔室202直接传送到第二腔室204中,或使基板能够从第二腔室204直接传送到第一腔室202中。
在一些实施方式中,高压系统200包括连接到传送腔室(如,传送腔室102、104的一个)并连接到外部环境的前级管道(foreline)214。沿着前级管道214布置隔离阀216,以将第二腔室204内的压力与外部环境的压力隔离。可操作隔离阀216以调节第二腔室204内的压力并释放第二腔室204内的气体。隔离阀216可与真空处理系统208一起操作以调节第二腔室204内的压力。
图3-6描绘了用于处理基板上的层的高压处理系统的各种实施方式。这些高压处理系统的腔室的压力可使用与关于图2所描述的系统类似的系统来控制。
参照图3,高压处理系统300包括第一腔室302、基座304、第二腔室306和控制器(如,控制器122)。高压处理系统300进一步包括类似于真空处理系统208的真空处理系统(未示出)和类似于关于图2描述的气体输送系统206的气体输送系统307。例如,气体输送系统307包括输入管线307a和排气管线307b。处理气体通过输入管线307a被引入到第一腔室302中,且处理气体通过排气管线307b从第一腔室302排出。
基座304支撑基板314,将要在基板314上处理材料层(如,退火或沉积)。基座304定位或可定位在第一腔室302内。在一些实施方式中,基板314直接座落于基座的平坦顶表面上。在一些实施方式中,基板314座落在从基座突出的销330上。
高压处理系统300包括内壁320、底座322和外壁324。第一腔室302由内壁320内的容积(如,在内壁320和底座322之间)提供。第二腔室304由内壁320外侧的容积(如,在内壁320与外壁324之间)提供。
高压处理系统300进一步包括位于第一腔室302和第二腔室306之间的阀组件316,阀组件316提供图2的阀组件212的功能,亦即,可操作阀组件316以将第一腔室302与第二腔室306隔离。例如,阀组件316包括内壁320、底座322和致动器323,以使底座322相对于内壁320移动。可控制致动器323以驱动底座322垂直地移动(如,远离或朝向界定第一腔室302的壁320)。波纹管328可用于密封第二腔室306而与外部大气隔绝,同时允许底座322垂直地移动。波纹管328可从底座322的底部延伸到由外壁324形成的第二腔室306的底板(floor)。
当阀组件316处于关闭位置时,底座322接触壁320,使得在底座322与壁320之间形成密封,因而将外腔室306与内腔室302分离。以足够的力操作致动器323以驱动底座322朝向内壁320以形成密封。密封阻止来自第一高压腔室302的空气排入低压第二腔室306中。
当阀组件316处于打开位置时,底座322与壁320间隔开,从而允许空气在第一腔室302和第二腔室306之间传导且还允许基板314被够到并传送到另一腔室。
因为基座304被支撑在底座322上,因此基座304也可相对于内壁320移动。可移动基座304以使基板314更容易被传送机械手够到。例如,传送机械手106或108(参见图1)的臂可延伸通过外壁324中的孔(aperture)326。当阀组件316处于打开位置时,机械手臂可穿过内壁320与底座322之间的间隙以够到基板314。
在一些实施方式中,高压处理系统300包括一个或多个加热元件318,一个或多个加热元件318被配置为向基板314施加热量。当基板314被支撑在基座304上且处理气体(若使用的话)已被引入到第一腔室302中时,来自加热元件318的热量可足以使基板314退火。加热元件318可为电阻加热元件。一个或多个加热元件318可定位在(如,嵌入)界定第一腔室302的内壁320中(如,在由内壁320提供的第一腔室302的顶板中)。这加热了内壁320,从而引起辐射热到达基板314。基板314可被基座304保持成紧密接近(如,2-10mm)于顶板,以改善从内壁320到基板314的热量传输。
然而,一个或多个加热元件318可被布置在高压处理系统300内的其他位置中(如,在侧壁内而不是在顶板内)。加热元件318的示例包括分立的加热线圈。代替或除了嵌入内壁的加热器,辐射加热器(如,红外灯)可位于第一腔室302的外部并引导红外辐射通过内壁320中的窗口。电线将电气源(未示出)(诸如电压源)连接到加热元件,并可将一个或多个加热元件318连接到控制器。
控制器可操作地连接到真空处理系统、气体输送系统307和阀组件316,用于控制处理(如,退火或沉积)基板314上的材料层的操作。在一些实施方式中,控制器也可可操作地连接到其他系统。例如,控制器也可可操作地连接到传送机械手106、108、一个或多个加热元件318和/或致动器323的一个或多个。在一些情况下,图1中所示的控制器122包括高压处理系统300的控制器。
在处理基板314上的材料层时,控制器可操作真空处理系统以将第二腔室306减压至低压状态(如,减压至第二腔室306具有小于1大气压的压力的状态),以准备通过第二腔室306传送基板314。低压状态可为近真空状态(如,小于1毫托的压力)。基板314藉由传送机械手(如,传送机械手106、108的一个)移动通过第二腔室306,同时第二腔室306处于低压,使得可抑制基板314的污染和氧化。双壁可帮助确保更安全的处理(如,退火)。
基板314被传送到第一腔室302中进行处理。为了将基板314传送到第一腔室302中,控制器可操作阀组件316(如,打开阀组件316以提供开口,基板314可通过开口被传送到第一腔室302中)。控制器可操作传送机械手以将基板314运载到第一腔室302中并将基板314放置在基座304上。
在基板314被传送到第一腔室302中之后,控制器可操作阀组件316以关闭开口(如,关闭阀组件316),从而将第一腔室302和第二腔室306彼此隔离。在阀组件316关闭的情况下,第一腔室302和第二腔室306中的压力可被设定为不同的值。控制器可操作气体输送系统307以将处理气体引入第一腔室302中,以对第一腔室302加压并将材料层形成到基板314上。处理气体的引入可增加第一腔室302内的压力到(例如)10个大气压或更高。
在一些实施方式中,处理气体与基板上的材料相互作用(如,藉由改性该层或与材料反应以形成新的层)来退火材料。或者,处理气体可包括待沉积到基板314上的材料,且第一腔室302中适当的温度和压力条件可引起材料的沉积发生。在处理基板期间,控制器可操作一个或多个加热元件318以向基板314增加热量,以促进材料层在基板314上的沉积。
当基板314上的材料层的改性或形成完成时,可使用传送机械手从第一腔室302移除基板314,且若必要的话,可将基板314传送到随后的处理腔室。或者,基板314被传送到装载锁定腔室(如,装载锁定腔室128的一个)。为了准备将基板314传送出第一腔室302,控制器可操作气体输送系统307的排气系统,以在阀组件316打开之前使第一腔室302减压。具体地,在基板314被传送出第一腔室202之前,从第一腔室302排出处理气体,以降低第一腔室202内的压力。压力可降低至接近真空的压力,使得在第一腔室302和第二腔室306之间的压差可最小化。
为了能够将基板314传送出第一腔室302,控制器可打开阀组件316。打开的阀组件316提供一个开口,基板314通过该开口移动而被传送到第二腔室306中。具体地,打开的阀组件316使基板314能够被直接传送到第二腔室306中(如,进入第二腔室306的低压环境中)。控制器可接着操作传送机械手以将基板314传送到处理平台(处理平台100)的另一部分。例如,基板314首先被直接传送到第二腔室306中,并接着被传送到适当的处理腔室以进行进一步处理,或传送到装载锁定腔室以从处理平台移除基板。
参照图4,在另一个实施方式中,高压处理系统400包括第一腔室402、基座404、第二腔室406和控制器(未示出)。高压处理系统400与关于图3描述的高压处理系统300类似;除非另外指明,否则各种选项和实施方式也适用于这个实施方式。
例如,高压处理系统400的气体输送系统和真空处理系统以类似的方式操作,以维持使用高压处理系统400而处理的基板414的低压和高压环境。第二腔室406可由在内壁420与外壁424之间的容积界定。此外,基板414也可支撑在基座404上以在第一腔室402内处理。此外,基板可直接座落于基座404上,或座落在延伸通过基座的升降销430上。
高压处理系统400与图3的高压处理系统300在一些方面不同。首先,界定第一腔室402的内壁420相对于界定第一腔室402的底座422不可移动。因此基座404相对于内壁420和底座422固定。在一些示例中,基座404被固定到界定第一腔室402的底座422。
图4中描绘的实施方式的一个或多个加热元件418不是如图3的实施方式的一个或多个加热元件318的情况那样布置在第一腔室402的壁420中,而是布置在基座404内。因此基板414藉由与基座404接触而被加热。
高压处理系统400进一步包括位于第一腔室402和第二腔室406之间的阀组件416,阀组件416(类似于图3的阀组件316)将第一腔室402与第二腔室406隔离。然而,与阀组件316不同,阀组件416不是由界定第一腔室402的壁420和底座422形成,而是由可相对于第一腔室402的内壁420和底座422移动的臂425形成。臂425相对于第一腔室402的外壁424和底座422可移动。
具体地,阀组件416包括位于第一腔室402和第二腔室406之间的狭缝阀423。狭缝阀423包括狭缝423a和臂425。狭缝423a延伸通过第一腔室402的内壁420的一个。臂425的近端425a位于第一腔室402的外部,而臂425的远端425b位于第一腔室402内。臂425的近端425a可位于第二腔室406内,且由位于第二腔室406内的致动器驱动。或者,臂425的近端425a位于第二腔室406的外部,且因此由也位于第二腔室406的外部的致动器428驱动。
臂425延伸通过狭缝423a,并可相对于壁420移动,使得臂425可移动到与壁420形成密封的位置。致动器428耦接到臂425的近端425a并相对于壁420驱动臂425的远端425b。臂425也可垂直移动以覆盖或露出狭缝423a。具体地,臂425的近端425a可为或可包括基本上平行于内壁420的相邻内表面而延伸的凸缘。臂425也可移动并被横向(laterally)驱动,使得臂425的远端425b可啮合或脱离壁420。
臂425也可延伸通过外壁424中的孔426。
像阀组件316一样,阀组件416可在打开位置和关闭位置之间移动。当阀组件416处于关闭位置时,臂425的远端425b覆盖狭缝423a并接触壁420的一个,由此形成密封以将第一腔室402与第二腔室406隔离。具体地,臂425的远端425b(如,凸缘)接触界定第一腔室402的壁420的内表面。
当阀组件416处于打开位置时,臂425的远端425b横向地与壁420(如,壁420的内表面)间隔开。另外,臂425的远端425b是垂直定位,使得狭缝423a未被覆盖。因此,狭缝423a提供了开口,开口能够实现在第一腔室402和第二腔室406之间的流体连通,且还能够使基板414(如,藉由如上所讨论的机械手)移入和移出第一腔室402。
控制器可以与关于高压处理系统300的控制器所描述的处理类似的方式来操作高压处理系统400,以将基板414传送进出第一腔室402并在基板414上形成材料层。在这个处理中,为了打开和关闭阀组件416,控制器可操作致动器428以驱动臂425。
图4中所示的配置的优点在于:第一腔室402内的压力有助于迫使臂425的远端425b抵靠内壁420的内表面。因此,与图3所示的配置相比,致动器可不那么强大。
参照图5,在进一步的实施方式中,高压处理系统500包括第一腔室502、基座504、第二腔室506和控制器(未示出)。高压处理系统500与关于图4描述的高压处理系统400类似;除非另外指明,否则各种选项和实施方式也适用于这个实施方式。
例如,高压处理系统500的气体输送系统和真空处理系统以类似的方式操作,以保持使用高压处理系统500而处理的基板(未示出)的低压和高压环境。此外,基板也可支撑在基座504或升降销上以在第一腔室502内处理。
高压处理系统500与图4的高压处理系统400的不同之处在于:基座504安装到界定第一腔室502的顶板521而不是界定第一腔室502的底座522。与基座404相似,基座504相对于壁520、顶板521和底座522而固定。此外,高压处理系统500的一个或多个加热元件518布置在基座504内。为了将基板定位在基座504上使得基板支撑在基座504上,基板插入在基座504的板之间。一个或多个加热元件518相对于板布置,使得当基板插入由基座504的板界定的槽(slot)中时,一个或多个加热元件518可均匀地向基板施加热量。
图5的配置的优点在于,内腔室502更易于够到而进行维修或修理。具体地,为了够到基座504,可移除外壁524的顶盖528。接着,可将顶板521和基座504作为一个单元来移除。
参照图6,在进一步的实施方式中,高压处理系统600包括第一腔室602、基座604、第二腔室606和控制器(未示出)。高压处理系统600类似于关于图4描述的高压处理系统400;除非另外指明,否则各种选项和实施方式也适用于这个实施方式。
例如,高压处理系统600的气体输送系统和真空处理系统以类似的方式操作,以维持使用高压处理系统600而处理的基板614的低压和高压环境。此外,基板614也可支撑在基座604上以在第一腔室602内处理。
高压处理系统600与图4的高压处理系统400的不同之处在于:高压处理系统600的阀组件616的臂625接触界定第一腔室602的内壁620的外表面,而不是内壁620的内表面,以覆盖内壁620中的孔623a。与阀组件416类似,阀组件616操作以将第一腔室602与第二腔室606隔离。阀组件616可定位在第一腔室602与第二腔室606之间。
阀组件616包括位于第一腔室602和第二腔室606之间的狭缝阀623。狭缝阀623包括孔623a(如,狭缝)和臂625。狭缝623a延伸通过内壁620的一个,内壁620提供第一腔室602。臂625的近端625a位于第一腔室602的外部。臂625的远端625b不是如臂425的情况那样位于第一腔室602内,而是位于第一腔室602的外部。因此,臂625不延伸通过孔623a。
臂625可相对于壁620移动,使得臂625可移动到与壁620形成密封的位置。例如,高压处理系统600包括致动器628,致动器628可操作以驱动臂625。致动器628耦接到臂625的近端625a且被驱动以相对于壁620移动臂625的远端625b。
像阀组件316一样,阀组件616可在打开位置和关闭位置之间移动。例如,当阀组件616处于关闭位置时,臂625的远端625b接触壁620的一个,由此形成密封以将第一腔室602中的高压与第二腔室606中的低压隔离。具体地,臂625的远端625b接触界定第一腔室602的壁620的外表面并定位成覆盖孔623a。
当阀组件616处于打开位置时,臂625的远端625b不接触壁620(如,壁620的外表面)。孔623a因此提供开口,开口能够实现在第一腔室602和第二腔室606之间的流体连通且还使基板614能够移入和移出第一腔室602。
控制器可以与关于高压处理系统300的控制器所描述的处理类似的方式来操作高压处理系统600,以传送基板614并在基板614上形成材料层。在这个处理中,为了打开和关闭阀组件616,控制器可操作致动器628以驱动臂625。
图6中所示的配置的优点在于(如,与图3所示的配置中的底座322相比),孔623a相对较小。如此,当在第一腔室602中建立高压时,需要较小的力来将阀保持在关闭位置。因此,与图3所示的配置相比,致动器可不那么强大。
图7示出了根据某些实施方式的具有加热元件的基座700。基座700可(例如)对应于基座404、504、604的一个。基座700包括具有升降销704的升降销组件702,升降销704至少部分地设置在界定在板708、710中的开口706中。升降销704用于从基座700升降基板,使得传送机械手(如,传送机械手106、108的一个)可够到并移动基板离开腔室(如,第一腔室202、302、402、502、或602)。升降销704由致动器705从第一位置驱动到第二位置,在第一位置中,升降销704凹进在基座700内,在第二位置中,升降销704从基座700突出。在第二位置中,升降销704将在基座700上的基板支撑在基座上方,从而在基座700上方提供足够的高度以供传送机械手抓住基板。
控制器和计算装置可实现于此描述的这些操作和其他处理和操作。控制器(如,控制器122、210或高压处理系统300、400、500或600的控制器的一个)可包括一个或多个处理装置,处理装置连接到于此描述的高压系统的各种部件、系统和子系统。
于此描述的系统的控制器和其他计算装置部分可以数字电子电路,或计算机软件、固件或硬件来实现。例如,控制器可包括处理器以执行存储在计算机程序产品中(如,存储在非暂态性机器可读存储介质中)的计算机程序。这种计算机程序(也称为程序、软件、软件应用、或代码)可用任何形式的编程语言编写,包括编译或解译的语言,且可以任何形式进行部署,包括作为独立程序或作为模块、部件、子程序,或适用于计算环境中使用的其他单元。
虽然这份文件含有许多具体实施方式的细节,但这些细节不应被解释为对任何发明或可能要求保护的范围的限制,而应被解释为对专用于特定发明的特定实施方式的特征的描述。在这份文件中在单独实施方式的上下文中描述的某些特征也可在单个实施方式中组合实现。相反地,在单个实施方式的上下文中描述的各种特征也可在多个实施方式中单独地或以任何合适的子组合来实现。此外,尽管上文可将特征描述为以某些组合起作用且甚至最初如此要求,但是来自所要求保护的组合的一个或多个特征可在一些情况下从组合中删除,且所要求保护的组合可涉及子组合或子组合的变体。
已经描述了许多实施方式。然而,将理解可进行各种修改。例如:
·处理系统可用于金属退火(如,铜或钴的退火)。对于这种退火处理,处理气体可为氢气(H2)或氘气(D2)。
·处理系统可用于二氧化硅(SiO2)的退火。对于这种退火处理,处理气体可为水蒸气或蒸气。
·处理系统可用于硅锗材料的退火。对于这种退火处理,处理气体可为氘气(D2)。
·虽然上文描述了由钴或镍层膜形成金属硅化物层,但是在一些实施方式中,可使用其他材料。例如,其它材料可包括钛、钽、钨、钼、铂、铁、铌、钯及其组合,以及包括镍钴合金、钴钨合金、钴镍钨合金、掺杂钴和镍合金、或镍铁合金在内的其他合金,以形成金属硅化物材料。
·尽管上文在用于形成层的系统的上下文中描述,但根据提供的气体,高压腔室可用于蚀刻系统。或者,高压腔室可填充有惰性气体,且高压腔室可纯粹用于高压下的热处理。
·于此描述的处理平台可包括其他类型的处理腔室。例如,处理平台可包括蚀刻腔室,以将图案蚀刻到基板的表面上。
·处理平台的不同腔室的每一个可具有不同的压力环境,范围从近真空到超过10个大气压。在腔室之间的隔离阀(如,真空阀)可将压力彼此隔离,使得可在每个腔室内保持这些变化的压力环境。
·在某些情况下(如,在形成不需要与大气隔离的膜的情况下),图2-6中所示的高压处理系统可为独立系统,而不是集成到多腔室系统中。在这种情况下,低压腔室将仍然可用于将高压腔室与外部环境隔离(如,在泄漏的情况下)。
因此,其他实施方式落在权利要求书的范围内。

Claims (20)

1.一种高压处理系统,包括:
第一腔室,所述第一腔室具有支撑件以在处理期间保持基板;
第二腔室;和
气体输送系统,所述气体输送系统配置成对所述第一腔室加压和减压,所述气体输送系统包括:
排气管线,所述排气管线穿过所述第一腔室的顶部和所述第二腔室的顶部;和
输入管线,所述输入管线穿过所述第一腔室的顶部和所述第二腔室的顶部。
2.如权利要求1所述的高压处理系统,进一步包括阀组件,所述阀组件设置在所述第一腔室与所述第二腔室之间并且配置成将所述第一腔室与所述第二腔室隔离。
3.如权利要求2所述的高压处理系统,其中所述阀组件包括:
狭缝,所述狭缝穿过在所述第一腔室和所述第二腔室之间的壁;和
臂,所述臂配置成覆盖和露出所述狭缝。
4.如权利要求1所述的高压处理系统,其中所述第二腔室至少部分地围绕所述第一腔室。
5.如权利要求1所述的高压处理系统,其中所述气体输送系统被配置为通过经由所述排气管线从所述第一腔室移除气体来使所述第一腔室减压,并且通过经由所述输入管线将所述气体引入所述第一腔室中以对所述第一腔室加压。
6.如权利要求5所述的高压处理系统,其中所述气体是处理气体和蒸气之一。
7.如权利要求1所述的高压处理系统,其中对所述第一腔室加压包括将所述第一腔室的压力增加到至少约10个大气压。
8.如权利要求1所述的高压处理系统,其中使所述第二腔室减压包括将所述第一腔室的压力降低至小于或等于约1个大气压。
9.如权利要求1所述的高压处理系统,进一步包括真空处理系统,所述真空处理系统配置成控制所述第二腔室的压力。
10.一种用于操作处理系统的方法,所述方法包括:
使第一腔室减压以使所述第一腔室的压力与第二腔室的压力相等;
通过使基板穿过所述第二腔室以将所述基板装载在第一腔室的基座上;和
将气体引入所述第一腔室中以相对于所述第二腔室的压力增加所述第一腔室的压力。
11.如权利要求10所述的方法,其中阀组件被配置为将所述第一腔室与所述第二腔室隔离,并且其中所述方法进一步包括:
在将所述基板传送到所述第一腔室中之后,关闭所述阀组件以将所述第一腔室与所述第二腔室隔离。
12.如权利要求11所述的方法,其中在关闭所述阀组件之后,将所述气体引入所述第一腔室中。
13.如权利要求11所述的方法,进一步包括:
在使所述第一腔室减压之后,打开所述阀组件。
14.如权利要求10所述的方法,其中所述气体是蒸气和处理气体之一。
15.如权利要求10所述的方法,其中使所述第一腔室减压包括经由排气
管线从所述第一腔室移除所述气体,并且将所述气体引入所述第一腔室中包括经由输入管线引入所述气体,其中所述排气管线和所述输入管线穿过所述第一腔室和所述第二腔室的顶部。
16.如权利要求10所述的方法,其中增加所述第一腔室的压力包括将所述第一腔室的压力增加到至少约10个大气压,并且使所述第一腔室减压包括将所述第一腔室的压力降低至小于或等于约1个大气压。
17.一种半导体制造设备,包括:
中央腔室;
高压处理系统,所述高压处理系统与所述中央腔室耦接,所述高压处理系统包括:
第一腔室,所述第一腔室具有支撑件以在处理期间保持基板;
第二腔室;和
气体输送系统,所述气体输送系统配置成对所述第一腔室加压和减压,所述气体输送系统包括:
排气管线,所述排气管线穿过所述第一腔室的顶部和所述第二腔室的顶部;和
输入管线,所述输入管线穿过所述第一腔室的顶部和所述第二腔室的顶部;和
传送机械手,所述传送机械手定位在所述中央腔室内,所述传送机械手配置成:
通过使所述基板从所述中央腔室通过并穿过所述第二腔室以将所述基板装载在所述支撑件上。
18.如权利要求17所述的半导体制造设备,进一步包括控制器,所述控制器配置成控制所述气体输送系统以:
通过经由所述排气管线从所述第一腔室移除气体来使所述第一腔室减压;和
通过经由所述输入管线将所述气体引入所述第一腔室中以对所述第一腔
室加压。
19.如权利要求18所述的半导体制造设备,其中所述高压处理系统进一步包括阀组件,所述阀组件设置在所述第一腔室与所述第二腔室之间,并且其中所述控制器进一步被配置成控制所述阀组件以将所述第一腔室与所述第二腔室隔离。
20.如权利要求18所述的半导体制造设备,其中所述气体是处理气体和蒸气之一。
CN202310755012.4A 2017-03-10 2018-03-09 高压晶片处理系统和相关方法 Pending CN116936405A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762470057P 2017-03-10 2017-03-10
US62/470,057 2017-03-10
PCT/US2018/021715 WO2018165533A1 (en) 2017-03-10 2018-03-09 High pressure wafer processing systems and related methods technical field
CN201880029402.0A CN110603631B (zh) 2017-03-10 2018-03-09 高压晶片处理系统和相关方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880029402.0A Division CN110603631B (zh) 2017-03-10 2018-03-09 高压晶片处理系统和相关方法

Publications (1)

Publication Number Publication Date
CN116936405A true CN116936405A (zh) 2023-10-24

Family

ID=63445057

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310755012.4A Pending CN116936405A (zh) 2017-03-10 2018-03-09 高压晶片处理系统和相关方法
CN201880029402.0A Active CN110603631B (zh) 2017-03-10 2018-03-09 高压晶片处理系统和相关方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880029402.0A Active CN110603631B (zh) 2017-03-10 2018-03-09 高压晶片处理系统和相关方法

Country Status (7)

Country Link
US (3) US10224224B2 (zh)
EP (1) EP3593378B1 (zh)
JP (2) JP6983900B2 (zh)
KR (3) KR102310823B1 (zh)
CN (2) CN116936405A (zh)
TW (3) TW202345268A (zh)
WO (1) WO2018165533A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6832154B2 (ja) * 2016-12-27 2021-02-24 東京エレクトロン株式会社 パージ方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2020017645A (ja) * 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11380564B2 (en) 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
US11885018B2 (en) 2018-09-24 2024-01-30 Lehigh University High pressure spatial chemical vapor deposition system and related process
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) * 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11948828B2 (en) 2020-01-16 2024-04-02 Applied Materials, Inc. Pin-less substrate transfer apparatus and method for a processing chamber
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
USD941787S1 (en) 2020-03-03 2022-01-25 Applied Materials, Inc. Substrate transfer blade
US20240012393A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Sustainability monitoring platform with sensor support
KR102606703B1 (ko) * 2022-11-04 2023-11-29 주식회사 에이치피에스피 고압 열처리 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP2003166065A (ja) * 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US20140003892A1 (en) * 2011-03-18 2014-01-02 Tokyo Electron Limited Gate valve unit, substrate processing device and substrate processing method thereof
US20140076494A1 (en) * 2012-09-18 2014-03-20 Tokyo Electron Limited Processing system

Family Cites Families (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
JPS61291032A (ja) * 1985-06-17 1986-12-20 Fujitsu Ltd 真空装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) * 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5624590A (en) * 1993-04-02 1997-04-29 Lucent Technologies, Inc. Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies and an apparatus for practicing this technique
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
US5857368A (en) * 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) * 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
JP3388435B2 (ja) * 1999-12-28 2003-03-24 株式会社ブイテックス ゲートバルブ
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6797336B2 (en) * 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030026677A1 (en) * 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
KR20050019129A (ko) * 2002-06-13 2005-02-28 비오씨 에드워즈 인코포레이티드 기판 처리 장치 및 기판 처리 방법
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
JP4895803B2 (ja) 2003-02-04 2012-03-14 アプライド マテリアルズ インコーポレイテッド 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
JP2006526125A (ja) * 2003-05-13 2006-11-16 アプライド マテリアルズ インコーポレイテッド 処理チャンバの開口を封止するための方法および装置
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
KR20050062751A (ko) * 2003-12-22 2005-06-27 어댑티브프라즈마테크놀로지 주식회사 이중 도어 게이트 밸브를 가지는 챔버 장비
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
JP2006120713A (ja) * 2004-10-19 2006-05-11 Tokyo Electron Ltd 成膜方法
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
EP1959299B1 (en) 2005-06-10 2012-12-26 Obducat AB Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
US8027089B2 (en) 2005-10-07 2011-09-27 Nikon Corporation Minute structure and its manufacturing method
KR101101757B1 (ko) * 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR20070068596A (ko) * 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
DE102007030006B4 (de) * 2006-07-19 2009-12-17 Vat Holding Ag Vakuumventil
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US8297591B2 (en) * 2008-08-29 2012-10-30 Applied Materials, Inc. Slit valve control
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
KR101027325B1 (ko) * 2008-11-24 2011-04-06 주식회사 아토 기판처리장치
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
FR2940322B1 (fr) * 2008-12-19 2011-02-11 Alcatel Lucent Procede de descente en pression dans un sas de chargement et de dechargement et equipement associe
JP5208800B2 (ja) * 2009-02-17 2013-06-12 東京エレクトロン株式会社 基板処理システム及び基板搬送方法
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN103151266B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
EP2526339A4 (en) 2010-01-21 2015-03-11 Powerdyne Inc PRODUCTION OF STEAM FROM A CARBON SUBSTANCE
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5506461B2 (ja) * 2010-03-05 2014-05-28 東京エレクトロン株式会社 超臨界処理装置及び超臨界処理方法
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9414445B2 (en) 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101801113B1 (ko) 2013-05-31 2017-11-24 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 제조 장치의 제조 방법 및 노구 개체
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
SG10201804322UA (en) 2013-08-21 2018-07-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
KR20150062545A (ko) * 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
WO2015112470A1 (en) * 2014-01-21 2015-07-30 Applied Materials, Inc. Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
JP6582676B2 (ja) * 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
EP3520136A4 (en) 2016-09-30 2020-05-06 Applied Materials, Inc. METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09296267A (ja) * 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP2003166065A (ja) * 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US20140003892A1 (en) * 2011-03-18 2014-01-02 Tokyo Electron Limited Gate valve unit, substrate processing device and substrate processing method thereof
US20140076494A1 (en) * 2012-09-18 2014-03-20 Tokyo Electron Limited Processing system

Also Published As

Publication number Publication date
EP3593378A4 (en) 2020-12-23
TWI826370B (zh) 2023-12-21
KR20210123423A (ko) 2021-10-13
KR102509015B1 (ko) 2023-03-14
JP2023089011A (ja) 2023-06-27
JP2020510315A (ja) 2020-04-02
JP6983900B2 (ja) 2021-12-17
US10224224B2 (en) 2019-03-05
JP7253015B2 (ja) 2023-04-05
US20180258533A1 (en) 2018-09-13
KR20190118685A (ko) 2019-10-18
KR102310823B1 (ko) 2021-10-12
EP3593378A1 (en) 2020-01-15
KR20220137169A (ko) 2022-10-11
TW201841290A (zh) 2018-11-16
US10529603B2 (en) 2020-01-07
JP2022020619A (ja) 2022-02-01
KR102449794B1 (ko) 2022-10-04
EP3593378B1 (en) 2023-04-26
WO2018165533A1 (en) 2018-09-13
CN110603631B (zh) 2023-07-11
CN110603631A (zh) 2019-12-20
TW202345268A (zh) 2023-11-16
US20190198367A1 (en) 2019-06-27
US20180261480A1 (en) 2018-09-13
TW202412157A (zh) 2024-03-16

Similar Documents

Publication Publication Date Title
CN110603631B (zh) 高压晶片处理系统和相关方法
CN110692121B (zh) 通过高压处理的钨脱氟
CN111066132B (zh) 用于高压处理腔室的气体输送系统
TW201931496A (zh) 用於高壓處理腔室的氣體分配系統
US20220230887A1 (en) Methods and apparatus for processing a substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination