JP6952799B2 - 窒化ケイ素膜の高圧処理 - Google Patents

窒化ケイ素膜の高圧処理 Download PDF

Info

Publication number
JP6952799B2
JP6952799B2 JP2019564965A JP2019564965A JP6952799B2 JP 6952799 B2 JP6952799 B2 JP 6952799B2 JP 2019564965 A JP2019564965 A JP 2019564965A JP 2019564965 A JP2019564965 A JP 2019564965A JP 6952799 B2 JP6952799 B2 JP 6952799B2
Authority
JP
Japan
Prior art keywords
chamber
dielectric film
silicon nitride
high pressure
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019564965A
Other languages
English (en)
Other versions
JP2020522133A (ja
Inventor
キース タットスン ウォン,
キース タットスン ウォン,
ショーン エス. カン,
ショーン エス. カン,
シュリニヴァス ディ. ネマニ,
シュリニヴァス ディ. ネマニ,
エリー ワイ. イェー,
エリー ワイ. イェー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020522133A publication Critical patent/JP2020522133A/ja
Application granted granted Critical
Publication of JP6952799B2 publication Critical patent/JP6952799B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

[0001] 本発明は、半導体ウエハなどの加工対象物上の窒化ケイ素層の高圧処理に関する。
[0002] 超小型電子回路及び他のマイクロスケールデバイスは、一般的に、シリコンや他の半導体材料のウエハなどの基板又はウエハ上の複数層の連続的な堆積及びパターニングによって製造される。ある用途では、絶縁膜(例えば、窒化ケイ素)が、基板上に堆積して、エッチング停止層、マスキング層、又はゲートスペーサ層を形成する。
[0003] 一部の層では、所望の材料特性を実現するために、通常、基板が、通常摂氏約200〜500度、より典型的には摂氏約300〜400度に急速に加熱される、アニーリングプロセスを受ける。基板は、比較的短い時間(例えば、60〜300秒)だけ、これらの温度に保持されてよい。次いで、基板が、急速に冷却され、通常、プロセス全体が、数分しかかからない。アニーリングを使用して、基板上の層の材料特性を変更することができる。アニーリングは、ドーパントの活性化、基板上の膜間のドーパントの駆動、膜と膜若しくは膜と基板の界面の変更、堆積膜の緻密化、又はイオン注入による損傷の修復にも使用することができる。
[0004] 一態様では、加工対象物上の窒化ケイ素の結合(シリコンと窒化物の結合)を含む誘電体膜の処理が、チャンバ内で窒化ケイ素の結合を含む誘電体膜を有する加工対象物を支持すること、チャンバの中にアミンガスを導入すること、チャンバ内で少なくとも5気圧の圧力を確立すること、及び、チャンバ内の圧力が少なくとも5気圧である間に、窒化ケイ素を含む誘電体膜をアミンガスに曝露することを含む。
[0005] この態様の他の実施形態は、対応するシステム、装置、及びコンピュータ記憶デバイスで符号化された(方法の動作を実行するように構成された)コンピュータプログラムを含む。
[0006] これらの及び他の実施形態は、それぞれ、任意選択的に以下の特徴のうちの1以上を含んでよい。
[0007] 誘電体膜の温度は、摂氏200〜500度に上昇させてよい。窒化ケイ素膜の温度は、チャンバ内の加工対象物用の支持体を高温に維持することによって上昇させることができる。誘電体膜の温度は、少なくとも5気圧のチャンバ内の圧力を確立する前に上昇させてよい。
[0008] チャンバ内の圧力を確立することは、チャンバ内にアミンガスを導入することを含んでよい。ある実施態様では、アミンガスがアンモニアガスを含む。アミンガスは、メチルアミンガス及び/又はジメチルアミンガスを含んでよい。ある実施態様では、誘電体膜が、少なくとも5分且つ1時間以下だけアミンガスに曝露される。
[0009] 誘電体膜は、製造中のフィン電界効果トランジスタ(FinFET)の一部分であってよい。
[0010] 別の一態様では、加工対象物上に誘電体材料を形成する方法が、流動性化学気相堆積(FCVD)によって加工対象物上に窒化ケイ素の結合を含む誘電体膜を堆積させること、及び、チャンバ内の圧力が少なくとも5気圧である間に、チャンバ内で窒化ケイ素の結合を含む誘電体膜をアミンガスに曝露することを含む。ある実施態様では、加工対象物上の誘電体膜の堆積が、摂氏380度より下の温度で行われる。
[0011] 別の一態様では、アニーリングシステムが、チャンバを画定するチャンバ本体、加工対象物の外面がチャンバ内の環境に曝露された状態で加工対象物を保持するための支持体、加工対象物をチャンバの中に挿入するためのロボット、チャンバにアミンガスを供給するためのガス供給源、チャンバ内の圧力を少なくとも5気圧に上昇させるためのチャンバに連結された圧力源、並びにロボット、ガス供給源、及び圧力源に接続されたコントローラを含む。コントローラは、ロボットに上部に誘電体膜を有する加工対象物をチャンバの中に移送させ、ガス供給源にアミンガスをチャンバに供給させ、加工対象物がチャンバ内で支持体上に保持されている間に、圧力源にチャンバ内の圧力を少なくとも5気圧に上昇させるように構成されている。
[0012] アニーリングシステムは、支持体上の加工対象物の温度を摂氏250〜500度に上昇させるためのヒータを含んでよい。ヒータは、支持体内に埋め込まれた抵抗加熱器を含んでよく、且つ/又は、ヒータは、支持体上の加工対象物に照射するように位置決めされたチャンバ本体の壁内の放射加熱器であってよい。圧力源は、ポンプを含んでよい。
[0013] 本明細書で説明される主題の特定の実施形態は、以下の利点のうちの1以上を実現するように実施されてよい。窒化ケイ素膜の堆積後のアニーリングは、例えば、Si-N-Siネットワークを強化し、窒化ケイ素膜内の不純物(例えば、酸素や炭素)を低減させることによって、膜品質を改善することができる。高圧アミンガスを使用することにより、窒化ケイ素膜の中へのガスの拡散が改善され、加工対象物の後処理用の熱収支が比較的低く維持され、且つ全体の層構造の品質が維持されるので、アニールプロセス中に使用される温度を低くすることができる。更に、比較的低い熱収支は、加工対象物上の他の予め存在している特徴に対する、温度に関連した効果を低減させる(例えば、低減されたドーパント拡散)。更に、窒化ケイ素膜を堆積させるためにより低い温度が使用されてよく、それによって、窒化ケイ素層と隣接する層(例えば、タングステン膜)との混合を低減させることができる。高い圧力のガスを使用することにより、特定の用途(例えば、FCVD窒化ケイ素の間隙充填用途)における物理的影響を有することもできる。したがって、高圧は、窒化ケイ素膜のリフローに影響を与えてよく、窒化ケイ素膜内の改善されたボイドのない間隙充填を実現することができる。
[0014] 本発明の1以上の実施形態の詳細を、添付の図面および以下の記述で説明する。本発明の他の特徴、目的及び利点は、これらの記述及び図面から、並びに特許請求の範囲から明らかになろう。
[0015] 高圧基板処理システムのブロック図である。 [0016] 高圧基板処理システム内で高圧処理によって窒化ケイ素をアニーリングするための例示的なプロセスフローのフロー図である。 [0017] 例示的な高圧基板処理システムを描く。 [0018] 高圧基板処理システムの別の一実施例を描く。
[0019] 様々な図面における類似の参照符号は、類似した要素を指し示している。
[0020] 一般的に、加工対象物上に堆積した層(例えば、半導体ウエハ上に堆積した窒化ケイ素膜)の膜品質を改善することが望まれている。例えば、半導体ウエハ上に堆積した窒化ケイ素膜は、フィン電界効果トランジスタ(FinFET)の製造向けのパターニングで使用されてよい。様々なやり方で膜品質が低下する場合がある。任意の特定の理論に限定されることなく、堆積プロセス中に、不純物が原因で膜品質が低下する可能性がある。例えば、特定の堆積化学反応を使用して窒化ケイ素膜を堆積させると、Si-H及びN-H結合により窒化ケイ素膜に欠陥が生じる場合がある。ある実施態様では、Si-C及びC-N結合により窒化ケイ素膜に欠陥が生じる。更に、窒化ケイ素膜が空気に曝露された後で、一部の欠陥は、Si-O結合に変換され得る。堆積した窒化ケイ素膜内に物理的なボイドも存在する可能性があり、膜品質が低下する。
[0021]窒化ケイ素膜内の膜品質の低下は、窒化ケイ素層の堆積プロセス中のSi-N-Siネットワークの不完全な形成から生じることもある。例えば、窒化ケイ素膜を堆積させるために使用される高温は、窒化ケイ素膜と周囲の層(例えば、タングステン)との混合をもたらす。窒化ケイ素膜と隣接する層(例えば、タングステン)との混合を軽減するために、窒化ケイ素膜を堆積させるためのより低い温度が使用される。それは、膜品質の低下をもたらす。
[0022] 高圧アニールを使用して窒化ケイ素膜品質を改善する高圧処理用のシステム及び方法が以下で説明される。加工対象物上に堆積した窒化ケイ素膜は、数分から一時間だけ、高温(例えば、摂氏200〜500度)に保持されている間に、高圧(例えば、少なくとも5気圧)のアミンガス(例えば、アンモニアガス)に曝露される。再び任意の特定の理論に限定されることなく、本明細書で説明される高圧処理は、ダングリングSi・N結合を低減させるのに効果的であり、更に、窒化ケイ素層における堆積プロセスから生じる汚染(例えば、Si-C結合)を低減させることができる。高圧処理は、Si-H結合とSi-O結合をSi-N結合に変換することにおいても効果的であり、更に、N-H結合を破壊することにおいても効果的であり得る。これにより、窒化ケイ素層の不均一なエッチング速度及び/又は高いエッチング速度、並びにFinFETデバイス内の窒化ケイ素ゲートスペーサにおける漏れの減少などの、有害な影響を低減させることができる。
システム
[0023] 図1は、高圧基板処理システム100のブロック図である。高圧基板処理システム100は、高圧チャンバ102を含む。
[0024] 高圧チャンバ102は、少なくとも5気圧(例えば、少なくとも10気圧)の圧力を包含するように構成され、真空下で10−3Torrまでの真空レベルを保持することができる。ある実施態様では、高圧基板処理システム100が、加工対象物が処理チャンバ間で(例えば、別の処理チャンバから高圧チャンバ102の中に)移送されるときのために、低圧環境(例えば、真空チャンバ104)を含む。高圧チャンバ102と低圧(例えば、真空)チャンバ104の範囲内の相対的な圧力は、互いから独立して制御されてよい。
[0025] ロボットアームを含む(図1では描かれてない)ロボットが、使用されて、例えばマルチチャンバ基板処理ツールのチャンバ間で、加工対象物を高圧チャンバ102の中に及び外に移送することができる。
[0026] 高圧チャンバ102は、支持体、例えば高圧チャンバ102内で加工対象物を支持するためのペデスタル106を含む。ペデスタル106は、様々な支持機構を使用して1以上の加工対象物を支持する。例えば、ペデスタル106は、係止ピン及びスプリングを用いて加工対象物を支持してよく、且つ/又は、加工対象物が、ペデスタル106の上端上に直接的に載置されてよい。
[0027] ある実施態様では、高圧チャンバ102が、1以上の加熱要素108を含む。例えば、加熱要素108aは、抵抗加熱器であり、加工対象物を加熱するためにペデスタル106の中に統合されている。ある実施態様では、高圧チャンバ102が、加熱要素108bを含む。その場合、加熱要素108bは、高圧チャンバ102を加熱し、高圧チャンバ102内の選択された温度を維持することができる。加熱要素108bは、高圧チャンバ本体の壁内に埋め込まれ、且つ、ペデスタル106上の加工対象物に照射するように位置決めされた、放射加熱器であってよい。加熱要素108からの熱は、加工対象物がペデスタル106上で支持され、(もし使用されるならば)ガスが高圧チャンバ102の中に導入されたときに、加工対象物をアニールするのに十分なものであってよい。加熱要素108は、抵抗加熱要素であってよく、加工対象物を伝導的及び/又は放射的に加熱してよい。更に、加熱要素108は、離散した加熱コイル又は放射加熱器(例えば、赤外線ランプ)を含んでよい。
[0028] ガス供給システム110は、高圧チャンバ102を加圧及び減圧するように動作可能である。ガス供給システム110は、高圧(例えば、少なくとも5気圧の圧力)を確立するために、高圧チャンバ102にガス混合物を供給する。ある実施態様では、ガス供給システム110が、高圧チャンバ102からガスを排気し、それによって、高圧チャンバ102を減圧するための排気システム112を含む。ガス供給システムは、チャンバ102内の圧力を高圧に上昇させるための圧力源を含む。圧力源は、所望の圧力が到達されるまでガスをチャンバ102の中にポンピングするように構成されたポンプ(例えば、回転ポンプ、スクロールポンプ、又はねじポンプ)、及び/又は、ガスシリンダがチャンバ102に流体的に連結された後で、均一化された圧力が所望の圧力に到達するのに十分な圧力にある圧縮されたガスシリンダを含んでよい。
[0029] ポンピングシステム114は、高圧チャンバ102及び/又は真空チャンバ104内の圧力を低減させるための1以上のポンプを含む。ポンプは、1以上の回転ポンプ、スクロールポンプ、及びねじポンプを含んでよい。例えば、ポンピングシステム114は、真空チャンバ104内の圧力を真空又は真空に近い圧力(例えば、1ミリTorr未満)に下げるために使用されてよい。別の一実施例では、ポンピングシステム114が、処理動作の前に高圧チャンバ102内の汚染物の存在を低減させるために、高圧チャンバ102内のポンプ及びパージサイクル中に使用されてよい。
[0030] ある実施態様では、バルブアセンブリ116が、高圧チャンバ102と真空チャンバ104との間の相対的な圧力を孤立させる。したがって、高圧チャンバ102内の高圧環境は、真空チャンバ104内の低圧環境から分離及び密封されてよい。バルブアセンブリ116は、加工対象物が、高圧チャンバ102と真空チャンバ104との間で直接的に移送されることを可能にするように動作可能である。
[0031] ある実施態様では、高圧基板処理システム100が、真空チャンバ104に連結され且つ外側環境に連結されたフォアライン(前側ライン)118を含む。遮断弁120が、フォアライン118に沿って配置され、真空チャンバ104内の圧力を外側環境の圧力から孤立させる。遮断弁120は、真空チャンバ104内の圧力を調整し、真空チャンバ104内のガスを解放するように動作可能である。遮断弁120は、ポンピングシステム114と併せて動作可能であり、真空チャンバ104内の圧力を調節する。
[0032] 高圧基板処理システム100の1以上の動作は、1以上のコントローラ122によって制御されてよい。コントローラ122(例えば、汎用プログラマブルコンピュータ)は、高圧基板処理システム100の様々な構成要素のうちの一部又は全部を制御するように接続され且つ動作可能である。例えば、コントローラ122によって制御される動作は、高圧チャンバ102内の加熱要素108の温度調節、高圧チャンバ102内の圧力調節、真空チャンバ104内の真空調節、ガス供給システム110による流量及びガス供給、並びにポンピングシステム114内の1以上のポンプの動作を含んでよい。例えば、コントローラ122は、高圧基板処理システム100の構成要素に、図2を参照しながら以下で説明されるプロセスを実行させる、制御信号を生成するようにプログラム可能であってよい。
窒化ケイ素膜の高圧処理
[0033] 図2は、高圧基板処理システム100内の加工対象物上の窒化ケイ素膜の高圧アニーリング向けの例示的なプロセスフロー200のフロー図である。一実施例では、加工対象物が、基板上に窒化ケイ素膜が堆積した半導体基板(例えば、シリコン)を含む。ある実施態様では、窒化ケイ素膜が、基板上に製造されたフィン電界効果トランジスタ(FinFET)の部分を形成する。加工対象物は、他の材料(例えば、TiN、タングステン)の層を含んでもよい。窒化ケイ素膜は、分離した処理ステップ内で、流動性化学気相堆積(FCVD)を使用して、加工対象物上に堆積してよい。ある実施態様では、プラズマ化学気相堆積(PECVD)、低圧化学気相堆積(LPCVD)、及び/又は原子層堆積(ALD)が、窒化ケイ素膜を堆積させるために使用されてよい。
[0034] 例えばロボットによって、加工対象物が、チャンバの中に挿入され、次いで、チャンバ内(例えば、高圧チャンバ102内のペデスタル106上)で支持される(202)。ある実施態様では、高圧チャンバ102及び/又はペデスタル106が、1以上の加熱要素108を使用して、特定の温度(例えば、摂氏200〜500度)に維持される。高圧チャンバ102及び/又はペデスタル106の温度は、加工対象物を高圧チャンバ102の中に導入する前に確立されてよい。更に、加工対象物(例えば、基板上の窒化ケイ素膜)の温度は、加工対象物が高圧チャンバ102内のペデスタル106によって支持されている間に、1以上の加熱要素108の使用を通じて特定の温度(例えば、摂氏200〜600度)に確立されてよい。ある実施態様では、加工対象物(例えば、基板上の窒化ケイ素膜)の温度が、高圧チャンバ102内の圧力が少なくとも5気圧に確立される前に上昇させられる。
[0035] アミンガスが、高圧チャンバ102の中に導入される(204)。アミンガスは、アンモニアガス又は別の小さくて反応性のアミンガス(例えば、メチルアミンガス若しくはジメチルアミンガス)であってよい。ある実施態様では、複数の異なるアミンガス(例えば、アンモニアガス及びメチルアミンガス)が、ガス供給システム110によって高圧チャンバ102の中に供給される前に、ガス混合物の中に混合されてよい。或いは、複数の異なるアミンガス(例えば、アンモニアガス及びメチルアミンガス)が、ガス供給システム110の個別のノズルによって高圧チャンバ102の中に供給され、高圧チャンバ102内で混合されてよい。ある実施態様では、アミンガスが、例えば、ガス混合物の可燃性を低減させるために、ガス供給システム110によって高圧チャンバ102の中に供給される前に、不活性ガス(例えば、窒素、アルゴン、又はヘリウム)に混合されてよい。
[0036] ガス供給システム110は、高圧チャンバ102内で5から50気圧の全圧を確立することができる(206)。ある実施態様では、高圧チャンバ内の全圧が、少なくとも10気圧である。高圧チャンバ102内のアミンガスの全圧は、チャンバ内の静圧として確立されてよく、又はアニーリングプロセス中のチャンバ内及び外へのアミンガスの流れを介して確立されてよい。5から50気圧の全圧は、アミンガスによって提供されてよい。例えば、高圧チャンバの中へ導入されるガスは、アミンガスから成ってよく、すなわち、アミンガスのみが高圧チャンバの中に導入される。所望の圧力が高圧チャンバ102内で確立された後で、加工対象物上の窒化ケイ素膜は、高圧チャンバ102が高圧で維持されている間に、アミンガスに曝露される(208)。曝露時間は、数分から数時間(例えば、少なくとも5分且つ1時間以下)を含む。ある実施態様では、アニーリング温度(例えば、アニールプロセス中の加工対象物の温度)、高圧チャンバ102内のアミンガスの圧力、及び高圧アニーリングプロセス用の曝露時間が、前述の(及び他の)変数を調整することによって、最適な動作パラメータを見つけることができるように、相互に関連付けられてよい。
[0037] 任意の特定の理論に限定されることなく、高圧アミンガス処理は、Si-H結合及びSi-O結合をSi-N結合に変換することにおいて効率的であってよく、更に、窒化ケイ素膜内のSi-N結合の形成を可能にするために、N-H結合を破壊することにおいて効率的であってよい。
[0038] ある実施態様では、加工対象物の加熱プロセス前又は中に、ガス供給システムによって、アミンガスが高圧チャンバ102の中に導入される。例えば、加熱要素108が、ペデスタル106上の加工対象物を特定の所望の温度に至らせている間に、高圧のアミンガス(例えば、アンモニアガス)が、高圧チャンバ102の中に導入されてよい。
[0039] ある実施態様では、加工対象物が、真空チャンバ104内にある間に、特定の温度に加熱されてよく、次いで、引き続いてロボット(図示せず)によって高圧チャンバ102に移送されて、アミンガス(例えば、アンモニアガス)が導入されてよい。
[0040] ある実施態様では、窒化ケイ素膜が、加工対象物上に堆積し、次いで、加工対象物が、本明細書で説明される高圧処理を受けてよい。例えば、窒化ケイ素膜は、例えば、トリシリルアミン/シラン/アンモニアのガス組成を使用して、流動性化学気相堆積(FCVD)によって、加工対象物上に堆積してよい。窒化ケイ素膜の堆積温度がより低い(例えば、摂氏380度より下)ので、膜品質がより低下し得る。次いで、窒化ケイ素膜は、高圧チャンバ102内の圧力が少なくとも5気圧である間に、高圧チャンバ102内のアミンガスに曝露されてよい。ある実施態様では、窒化ケイ素膜が、エッチングハードマスクとして使用される。それによって、窒化ケイ素層内にトレンチをエッチングするプロセスが、加工対象物上の窒化ケイ素層の高圧処理の前及び/又は後に、(例えば、SF6/CH4/N2/O2などのプラズマガス組成を使用して)窒化ケイ素層上で実行されてよい。
高圧基板処理システムの実施形態
[0041] 図3及び図4は、高圧基板処理システムの2つの実施形態を描いている。図3は、第1のチャンバ302(例えば、高圧チャンバ102)、ペデスタル304、第2のチャンバ306(例えば、真空チャンバ104)、及びコントローラ(例えば、コントローラ122)を含む、例示的な高圧基板処理システム300を描いている。高圧基板処理システム300は、図1に関連して説明された、ポンピングシステム114に類似したポンピングシステム(図示せず)、及びガス供給システム110に類似したガス供給システム307を更に含む。例えば、ガス供給システム307は、入力ライン307a及び排気ライン307bを含む。アミンガスは、入力ライン307aを通して第1のチャンバ302の中に導入され、アミンガスは、排気ライン307bを通して第1のチャンバ302から排出される。
[0042] ペデスタル304は、その上で材料の膜(例えば、窒化ケイ素膜)が高圧処理を通じて処理されるところの、加工対象物(すなわち、基板)314を支持する。ペデスタル304は、第1のチャンバ302の範囲内に位置決めされ又は位置決め可能である。ある実施態様では、基板314が、ペデスタルの平坦な上端面上に直接的に載置される。ある実施態様では、基板314が、ペデスタルから突出したピン330の上に載置される。高圧基板処理システム300は、内壁320、ベース322、及び外壁324を含む。第1のチャンバ302は、例えば、内壁320とベース322の間で、内壁320の範囲内の空間によって提供される。第2のチャンバ306は、例えば、内壁320と外壁324の間で、内壁320の外側の空間によって提供される。
[0043] 高圧基板処理システム300は、図1のバルブアセンブリ116の機能を提供する、第1のチャンバ302と第2のチャンバ306の間のバルブアセンブリ316を更に含む。すなわち、バルブアセンブリ316は、第1のチャンバ302を第2のチャンバ306から孤立させるように動作可能である。例えば、バルブアセンブリ316は、内壁320、ベース322、及びベース322を内壁320に対して移動させるためのアクチュエータ323を含む。アクチュエータ323は、ベース322を、垂直に、例えば第1のチャンバ302を画定する壁320から離れるように又は壁320に向けて移動させるように駆動するべく制御されてよい。ベローズ328が使用されて、ベース322が垂直に移動することを可能にする一方で、第2のチャンバ306を外部の雰囲気から密封することができる。ベローズ328は、ベース322の底部から、外壁324によって形成された第2のチャンバ306の床まで延在してよい。
[0044] バルブアセンブリ316が閉じた位置にあるときに、ベース322は壁320に接触する。それによって、ベース322と壁320の間に密封が形成され、したがって、第2のチャンバ306は、第1のチャンバ302から分離される。アクチュエータ323は、密封を形成するのに十分な力で内壁320に向けてベース322を駆動するように動作する。密封は、第1の高圧チャンバ302からの空気が、低圧の第2のチャンバ306の中に排出されることを抑制する。
[0045] バルブアセンブリ316が開位置にあるときに、ベース322は、壁320から間隔を空けられており、それによって、空気が第1及び第2のチャンバ302、306の間で移動することを可能にし、基板314がアクセスされ別のチャンバへ移送されることも可能にする。
[0046] ペデスタル304は、ベース322上で支持されているので、ペデスタル304も、したがって、内壁320に対して移動可能である。ペデスタル304は、基板314が、移送ロボットによってより容易にアクセスされることを可能にするように移動することができる。例えば、移送ロボットのアーム(描かれていない)は、外壁324内の開口326を通って延在することができる。バルブアセンブリ316が開位置にあるときに、ロボットアームは、基板314にアクセスするために、内壁320とベース322との間隙を通過することができる。ある実施態様では、高圧基板処理システム300が、基板314に熱を印加するように構成された1以上の加熱要素318を含む。加熱要素318からの熱は、基板314がペデスタル304上で支持され、(もし使用されるならば)前駆体ガスが第1のチャンバ302の中に導入されたときに、基板314をアニールするのに十分な量であってよい。加熱要素318は、抵抗加熱要素であってよい。1以上の加熱要素318は、第1のチャンバ302を画定する内壁320内に位置決めされ(例えば、埋め込まれ)てよい。これは、内壁320を加熱し、放射熱が基板314に到達することをもたらす。基板314は、内壁の天井の近傍内でペデスタル304によって保持されてよく、内壁320から基板314への熱の伝達を改善する。
[0047] しかし、1以上の加熱要素318は、高圧基板処理システム300内の他の場所、例えば、天井よりもむしろ側壁内に配置されてよい。加熱要素318の一実施例は、離散した加熱コイルを含む。内壁320内に埋め込まれたヒータの代わりに又はそれに加えて、放射加熱器(例えば、赤外線ランプ)が、第1のチャンバ302の外側に位置付けられてよく、内壁320内の窓を通して赤外線放射を向けることができる。電線が、電圧源などの電源(図示せず)を加熱要素に接続し、1以上の加熱要素318をコントローラに接続してよい。
[0048] コントローラは、基板314上の材料の層の高圧処理を実行するための動作を制御するために、ポンピングシステム、ガス供給システム307、及びバルブアセンブリ316と動作可能に接続されている。ある実施態様では、コントローラが、他のシステムとも動作可能に接続されてよい。例えば、コントローラは、移送ロボット(図示せず)のうちの1以上、1以上の加熱要素318、及び/又はアクチュエータ323とも動作可能に接続されてよい。ある場合では、図1で示されているコントローラ122が、高圧基板処理システム300のコントローラを含む。
[0049] 基板314上の材料の層の高圧処理を実行するためのプロセスでは、第2のチャンバ306を通して基板314を移送する準備のために、コントローラが、第2のチャンバ306を低圧状態、例えば第2のチャンバ306が1気圧未満の圧力を有する状態に減圧するようにポンピングシステムを動作させることができる。低圧状態は、真空に近い状態、例えば、1ミリTorr未満の圧力であってよい。基板314は、移送ロボット(図示せず)によって第2のチャンバ306を通して移動されると共に、第2のチャンバ306は、基板314の汚染及び酸化が抑制され得るように、低圧になっている。
[0050] 基板314は、処理のために第1のチャンバ302の中に移送される。基板314を第1のチャンバ302の中に移送するために、コントローラは、バルブアセンブリ316を動作させ、例えばバルブアセンブリ316を開けて、そこを通して基板314が第1のチャンバ302の中に移送され得るところの開口部を提供することができる。コントローラは、移送ロボットを動作させて、基板314を第1のチャンバ302の中に運び、基板314をペデスタル304上に置くことができる。
[0051] 基板314が、第1のチャンバ302の中に移送された後で、コントローラは、開口部を閉じるようにバルブアセンブリ316を動作させる。例えば、コントローラは、バルブアセンブリ316を閉じ、それによって、第1及び第2のチャンバ302、306を互いから孤立させることができる。バルブアセンブリ316が閉じられた状態で、第1のチャンバ302と第2のチャンバ306内の圧力が異なる値に設定されてよい。コントローラは、ガス供給システム307を動作させて、アミンガスを第1のチャンバ302の中に導入し、第1のチャンバ302を加圧することができる。
[0052] アミンガスを導入することによって、第1のチャンバ302内の圧力を、例えば5気圧以上に上昇させることができる。
[0053] 第1のチャンバ302内のアミンガス並びに適正な温度及び圧力状態は、材料の高圧処理が、例えば、図2を参照しながら説明されたように生じることをもたらし得る。高圧処理中に、コントローラは、基板314に熱を加えて、基板314上の材料の層のアニーリングを促進するように、1以上の加熱要素318を動作させることができる。
[0054] 高圧処理が完了したときに、基板314は、移送ロボットを使用して第1のチャンバ302から取り除かれてよい。必要ならば、基板314は、次のプロセスチャンバ又は外部環境に移送されてよい。代替的に、基板314は、ロードロックチャンバ(図示せず)の中に移送される。第1のチャンバ302から外へ基板314を移送するための準備として、コントローラは、ガス供給システム307の排気システムを動作させて、バルブアセンブリ316が開けられる前に、第1のチャンバ302を減圧することができる。特に、基板314が、第1のチャンバ202から移送される前に、第1のチャンバ202内の圧力を低減させるために、前駆体ガスが第1のチャンバ302から排出される。第1のチャンバ302内の圧力は、真空に近い圧力まで低減されてよい。それによって、第1のチャンバ302と第2のチャンバ306との間の圧力差が最小化され得る。
[0055] 第1のチャンバ302から外へ基板314を移送することを可能にするために、コントローラは、バルブアセンブリ316を開けることができる。バルブアセンブリ316が開けられると、そこを通して基板314が第2のチャンバ306の中に移送され得るところの開口部が提供される。特に、バルブアセンブリ316を開けることによって、基板314が、直接的に第2のチャンバ306の中に、例えば第2のチャンバ306の低圧環境の中に移送されることが可能になる。
[0056] 図4は、第1のチャンバ402(例えば、高圧チャンバ102)、ペデスタル404、第2のチャンバ406(例えば、真空チャンバ104)、及び図1で示されているコントローラ122に類似したコントローラを含む、高圧基板処理システム400の別の実施例を描いている。高圧基板処理システム400は、図3に関連して説明された高圧基板処理システム300に類似している。ただし、別段の指定がなければ、様々なオプション及び実施態様をこの実施形態に適用することも可能である。
[0057] 例えば、高圧基板処理システム400のガス供給システム及びポンピングシステムが、高圧基板処理システム400を使用して処理される基板414に対して低圧及び高圧を維持するような類似のやり方で動作される。第2のチャンバ406は、内壁420と外壁424の間の空間によって画定されてよい。更に、基板414は、第1のチャンバ402内の処理のためにペデスタル404上に支持されることも可能である。再び、基板は、ペデスタル404上に直接的に載置されてよく、又はペデスタルを通って延在するリフトピン430上に載置されてよい。
[0058] 高圧基板処理システム400は、幾つかの点に関して、図3の高圧基板処理システム300と異なる。先ず、第1のチャンバ402を画定する内壁420が、第1のチャンバ402を画定するベース422に対して可動ではない。したがって、ペデスタル404は、内壁420及びベース422に対して固定されている。ある実施例では、ペデスタル404が、第1のチャンバ402を画定するベース422に固定されている。
[0059] 図3の実施形態の1以上の加熱要素318の場合のように、第1のチャンバ402の内壁420内に配置されているよりもむしろ、図4で描かれている実施形態の1以上の加熱要素418は、ペデスタル404内に配置されている。したがって、基板414は、ペデスタル404との接触を通じて加熱される。高圧基板処理システム400は、図3のバルブアセンブリ316に類似して、第1のチャンバ402を第2のチャンバ406から孤立させる、第1のチャンバ402と第2のチャンバ406の間のバルブアセンブリ416を更に含む。しかし、バルブアセンブリ316とは対照的に、バルブアセンブリ416は、第1のチャンバ402を画定する壁420及びベース422によって形成されているのではなく、むしろ第1のチャンバ402の内壁420及びベース422に対して可動なアーム425によって形成されている。アーム425は、第1のチャンバ402の内壁420及びベース422に対して可動であってよい。
[0060] 特に、バルブアセンブリ416は、第1のチャンバ402と第2のチャンバ406の間にスリットバルブ423を含む。スリットバルブ423は、スリット423a及びアーム425を含む。スリット423aは、第1のチャンバ402の内壁420のうちの1つを貫通して延在する。アーム425の近位端425aは、第1のチャンバ402の外側に位置決めされ、一方、アーム425の遠位端425bは、第1のチャンバ402内に位置決めされている。アーム425の近位端425aは、第2のチャンバ406内に位置決めされてよく、第2のチャンバ406内に位置決めされたアクチュエータによって駆動されてよい。代替的に、アーム425の近位端425aは、第2のチャンバ406の外側に位置決めされ、したがって、これもまた第2のチャンバ406の外側に位置決めされたアクチュエータ428によって駆動される。アーム425は、スリット423aを貫通して延在し、壁420に対して可動である。それによって、アーム425は、それが壁420との密封を形成する位置に移動されてよい。アクチュエータ428は、アーム425の近位端425aに連結され、壁420に対してアーム425の遠位端425bを駆動する。アーム425は、スリット423aをカバー又はカバー解除するために垂直にも可動である。特に、アーム425の近位端425aは、内壁420の隣接した内面と実質的に平行に延在するフランジであってよく、又はそのようなフランジを含んでよい。アーム425は、アーム425の遠位端425bが、内壁420と係合又は係合解除することができるように、側方にも可動であり且つ駆動される。
[0061] アーム425は、外壁424内の開口(例えば、スリット)426を通って延在することもできる。
[0062] バルブアセンブリ316のように、バルブアセンブリ416は、開位置と閉位置の間で可動である。バルブアセンブリ416が閉位置にあるときに、アーム425の遠位端425bは、スリット426をカバーし、内壁420のうちの1つと接触し、それによって、第1のチャンバ402を第2のチャンバ406から孤立させるように密封を形成する。特に、アーム425の遠位端425b(例えば、フランジ)は、第1のチャンバ402を画定する壁420の内面に接触する。
[0063] バルブアセンブリ416が開位置にあるときに、アーム425の遠位端425bは、内壁420(例えば、内壁420の内面)から側方に間隔を空けられる。更に、アーム425の遠位端425bは、スリット426がカバー解除されるように、垂直に位置決めされる。したがって、スリット426は、第1のチャンバ402と第2のチャンバ406の間の流体連通を可能にし、且つ、例えば上述されたようにロボットによって、基板414が第1のチャンバ402の中に及び外に移動されることも可能にする、開口部を提供する。
[0064] コントローラは、基板414を第1のチャンバ402の中に及び外に移送するために、そして、基板414上の材料の層に対して高圧処理を実行するために、高圧基板処理システム300のコントローラに関して説明されたプロセスに類似したやり方で、高圧基板処理システム400を動作させることができる。このプロセスでは、バルブアセンブリ416を開閉するために、コントローラは、アーム425を駆動するようにアクチュエータ428を動作させることができる。
[0065] 図4で示されている構成の利点は、第1のチャンバ402内の圧力が、内壁420の内面に対してアーム425の遠位端425bを押す助けになるということである。結局、図3で示されている構成とは対照的に、アクチュエータがより非力であってよい。
[0066] 本明細書で説明されるシステムのコントローラ及び他の計算デバイスの部分は、デジタル電子回路内、又はコンピュータソフトウェア、ファームウェア、若しくはハードウェア内に実装され得る。例えばコントローラは、例えば持続性マシン可読ストレージ媒体といった、コンピュータプログラム製品内に記憶されたコンピュータプログラムを実行する、プロセッサを含むことができる。こうしたコンピュータプログラム(プログラム、ソフトウェア、ソフトウェアアプリケーション又はコードとしても知られている)は、コンパイル又は翻訳された言語を含むプログラミング言語の任意の形で書くことができ、また独立型プログラムとして、又はモジュール、構成要素、サブルーチン、若しくは計算環境で使用するのに適している他のユニットとして配置することを含め、任意の形で配置することができる。
[0067] 本明細書は特定の実行形態の詳細を多数包含しているが、これらは本発明の如何なる範囲、又は特許請求の範囲においても限定するものとして解釈すべきでなく、特定の発明の特定の実施形態に特有の特徴の説明として解釈すべきである。別々の実施形態に関連して本明細書に記載された特定の特徴を、単一の実施形態において組み合わせて実行することも可能である。反対に、単一の実施形態に関連して記載される様々な特徴を、複数の実施形態に別々に、またはいずれかの適切な組み合わせの一部において実行することもできる。更に、特徴は特定の組み合わせにおいて作用するものとして上記され、またそのように特許請求さえされ得るが、ある場合には特許請求された組み合わせの中の1以上の特徴をその組み合わせから除外することもでき、特許請求された組み合わせが、組み合わせの一部または組み合わせの一部の変形を対象とする場合もある。上述の説明は窒化ケイ素に対して行われたが、窒化ケイ素の結合を含む他の誘電体膜、例えば酸窒化ケイ素(SiON)や炭窒化ケイ素(SiCN)などが、例えば、低温又はFCVDプロセスによって堆積してよく、本明細書で説明された高圧処理を使用して処理されてよい。
[0068] したがって、その他の実施形態も下記の特許請求の範囲内にある。

Claims (15)

  1. 加工対象物上の窒化ケイ素の結合を含む誘電体膜を処理する方法であって、
    チャンバ内で窒化ケイ素の結合を含む前記誘電体膜を有する前記加工対象物を支持すること、
    前記チャンバの中に、帯電しないアミンガスを導入する前に、摂氏200〜500度の間に前記誘電体膜の温度を上昇させること、
    前記チャンバ内で少なくとも5気圧の圧力を確立すること、及び
    前記チャンバ内の前記圧力が少なくとも5気圧である間に、前記加工対象物上の前記誘電体膜を、上昇した前記温度の帯電しない前記アミンガスに曝露して、前記誘電体膜を熱アニールすることを含む、方法。
  2. 少なくとも5気圧の前記チャンバ内の前記圧力が確立される前に、前記誘電体膜の温度を上昇させる、請求項1に記載の方法。
  3. 前記アミンガスが、アンモニアガス、メチルアミンガス、及びジメチルアミンガスのうちの少なくとも1つを含む、請求項1に記載の方法。
  4. 前記誘電体膜が、製造中のフィン電界効果トランジスタの一部分である、請求項1に記載の方法。
  5. 少なくとも5分の間だけ前記誘電体膜を前記アミンガスに曝露することを含む、請求項1に記載の方法。
  6. 前記誘電体膜が、窒化ケイ素、酸窒化ケイ素、又は炭窒化ケイ素の膜である、請求項1に記載の方法。
  7. 加工対象物上に誘電材料を形成する方法であって、
    流動性化学気相堆積によって前記加工対象物上に窒化ケイ素の結合を含む誘電体膜を堆積させること、
    帯電しないアミンガスを導入する前に、摂氏200〜500度の間に前記誘電体膜の温度を上昇させること、及び
    チャンバ内の圧力が少なくとも5気圧である間に、前記チャンバ内で前記加工対象物上の窒化ケイ素の結合を含む前記誘電体膜を帯電しない前記アミンガスに曝露して、前記誘電体膜を熱アニールすることを含む、方法。
  8. 前記加工対象物上の前記誘電体膜の前記堆積が、摂氏380度より下の温度で行われる、請求項7に記載の方法。
  9. 前記誘電体膜の温度を摂氏200〜500度に上昇させることを含む、請求項1又は7に記載の方法。
  10. 前記チャンバ内に前記アミンガスを導入することによって、前記チャンバ内の前記圧力を確立すること含む、請求項7に記載の方法。
  11. 前記アミンガスがアンモニアガスである、請求項10に記載の方法。
  12. 前記誘電体膜が、フィン電界効果トランジスタの一部分である、請求項7に記載の方法。
  13. 前記誘電体膜が、窒化ケイ素、酸窒化ケイ素、又は炭窒化ケイ素の膜である、請求項7に記載の方法。
  14. 加工対象物上の窒化ケイ素の結合を含む誘電体膜を熱アニールする方法であって、
    チャンバ内で窒化ケイ素の結合を含む前記誘電体膜を有する前記加工対象物を支持すること、
    前記チャンバの中に帯電しないアミンガスを導入する前に、前記誘電体膜の温度を摂氏200〜500度に上昇させること、
    前記チャンバ内で、帯電しない前記アミンガスによって提供される少なくとも5気圧の全圧を確立すること、及び
    前記チャンバ内の前記全圧が少なくとも5気圧である間に、前記加工対象物上の前記誘電体膜を、上昇した前記温度の帯電しない前記アミンガスに曝露して、前記誘電体膜を熱アニールすること、
    を含む方法。
  15. 前記チャンバ内の前記全圧は少なくとも10気圧である、請求項14に記載の方法。
JP2019564965A 2017-05-25 2018-05-24 窒化ケイ素膜の高圧処理 Active JP6952799B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/605,751 US10847360B2 (en) 2017-05-25 2017-05-25 High pressure treatment of silicon nitride film
US15/605,751 2017-05-25
PCT/US2018/034284 WO2018217967A1 (en) 2017-05-25 2018-05-24 High pressure treatment of silicon nitride film

Publications (2)

Publication Number Publication Date
JP2020522133A JP2020522133A (ja) 2020-07-27
JP6952799B2 true JP6952799B2 (ja) 2021-10-20

Family

ID=64395897

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019564965A Active JP6952799B2 (ja) 2017-05-25 2018-05-24 窒化ケイ素膜の高圧処理

Country Status (6)

Country Link
US (1) US10847360B2 (ja)
EP (1) EP3635769A4 (ja)
JP (1) JP6952799B2 (ja)
KR (1) KR102289791B1 (ja)
CN (1) CN110678959B (ja)
WO (1) WO2018217967A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
JPH05226330A (ja) * 1992-01-27 1993-09-03 Nec Corp 容量絶縁膜の形成方法
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JPH1012816A (ja) * 1996-06-19 1998-01-16 Sony Corp 容量素子の製造方法
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6063713A (en) * 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP3350478B2 (ja) * 1999-04-21 2002-11-25 宮城沖電気株式会社 半導体素子の製造方法
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
KR101118462B1 (ko) * 2002-06-12 2012-03-06 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 질화된 게이트 유전체 층에서 질소 프로파일을개선하기 위한 방법
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
EP1597752A2 (en) 2003-02-04 2005-11-23 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
ES2317159T3 (es) 2005-06-10 2009-04-16 Obducat Ab Replicacion de modelo con sello intermedio.
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8027089B2 (en) 2005-10-07 2011-09-27 Nikon Corporation Minute structure and its manufacturing method
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) * 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP5051180B2 (ja) * 2009-05-26 2012-10-17 東京エレクトロン株式会社 成膜方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120106766A (ko) 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
WO2011084812A2 (en) 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8937353B2 (en) * 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
KR101568748B1 (ko) 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
CN105453227B (zh) 2013-08-21 2018-10-19 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
KR101649356B1 (ko) * 2014-01-20 2016-08-18 주식회사 풍산 반도체 기판 처리장치
US9818603B2 (en) * 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
CN106688080A (zh) 2014-09-08 2017-05-17 三菱电机株式会社 半导体退火装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US9543141B2 (en) * 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
KR20190141034A (ko) 2015-02-06 2019-12-20 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Also Published As

Publication number Publication date
US20180342384A1 (en) 2018-11-29
WO2018217967A1 (en) 2018-11-29
EP3635769A1 (en) 2020-04-15
EP3635769A4 (en) 2021-03-03
JP2020522133A (ja) 2020-07-27
US10847360B2 (en) 2020-11-24
KR102289791B1 (ko) 2021-08-17
KR20200003242A (ko) 2020-01-08
CN110678959B (zh) 2023-07-25
CN110678959A (zh) 2020-01-10

Similar Documents

Publication Publication Date Title
JP6952799B2 (ja) 窒化ケイ素膜の高圧処理
JP6959362B2 (ja) 高圧処理によるタングステンの脱フッ素化
CN110603631B (zh) 高压晶片处理系统和相关方法
TWI440089B (zh) 基板處理方法及基板處理裝置
WO2006049130A1 (ja) 半導体装置の製造方法
JP2023513796A (ja) 流動性間隙充填膜のためのマルチステッププロセス
JP2009044088A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210831

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210928

R150 Certificate of patent or registration of utility model

Ref document number: 6952799

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150