KR20200055663A - 통합 반도체 처리 - Google Patents

통합 반도체 처리 Download PDF

Info

Publication number
KR20200055663A
KR20200055663A KR1020190142504A KR20190142504A KR20200055663A KR 20200055663 A KR20200055663 A KR 20200055663A KR 1020190142504 A KR1020190142504 A KR 1020190142504A KR 20190142504 A KR20190142504 A KR 20190142504A KR 20200055663 A KR20200055663 A KR 20200055663A
Authority
KR
South Korea
Prior art keywords
processing
processing chamber
substrate
chamber
processing system
Prior art date
Application number
KR1020190142504A
Other languages
English (en)
Other versions
KR102293601B1 (ko
Inventor
벤자민 콜롬보
성-친 쿵
파트리샤 엠. 리우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200055663A publication Critical patent/KR20200055663A/ko
Application granted granted Critical
Publication of KR102293601B1 publication Critical patent/KR102293601B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

일반적으로, 본원에 설명된 예들은, 초격자의 일부로서 형성된 트리밍된 층들 상에 피복 층들을 형성하기 위한 통합 해법들에 관한 것이다. 예에서, 제1 물질이 처리 시스템의 제1 처리 챔버에서 선택적으로 식각된다. 제1 물질은, 기판 상의 채널 영역에 있는, 제1 물질 및 제2 물질의 교번하는 층들 내에 배치된다. 제2 물질의 일부는 처리 시스템의 제1 처리 챔버에서 트리밍된다. 기판은, 기판을 처리 시스템 외부의 주변 환경에 노출시키지 않고 처리 시스템의 제1 처리 챔버로부터 처리 시스템의 제2 처리 챔버로 이송된다. 처리 시스템의 제2 처리 챔버에서, 트리밍된 제2 물질의 각각의 층들 상에 피복 층이 에피택셜 성장된다.

Description

통합 반도체 처리{INTEGRATED SEMICONDUCTOR PROCESSING}
본원에 설명된 예들은 일반적으로, 반도체 처리 분야, 더 구체적으로, 통합 반도체 처리 해법들에 관한 것이다.
나노미터 및 더 작은 피쳐들을 신뢰성있게 제조하는 것은, 반도체 디바이스들의 차세대 초대규모 집적회로(VLSI) 및 극초대규모 집적회로(ULSI)에 대한 핵심 기술 난제들 중 하나이다. 회로 기술의 한계들이 확장되면서, VLSI 및 ULSI 기술의 축소되는 치수들은 처리 능력들에 추가적인 요구들을 해왔다. 집적 회로 구성요소들의 치수들이 (예를 들어, 나노미터 치수들로) 감소됨에 따라, 구성요소들을 제조하는 데에 사용되는 물질들 및 프로세스들은 일반적으로, 전기 성능의 만족스러운 수준들을 얻기 위해 세심하게 선택된다.
오늘날의 VLSI 및 ULSI 구조들의 복잡성 때문에, 이러한 디바이스들이 형성되는 기판들은, 패터닝 단계, 증착 단계, 식각 단계, 또는 열 처리 단계 중 적어도 하나를 전형적으로 수행하도록 구성된 다수의 상이한 처리 챔버들에서 처리되어야 한다. 프로세스 화학물질들 간의 비호환성, 챔버 처리량의 차이, 또는 처리 기술로 인해, 장비 제조업자들이, 오직 특정 유형들의 처리 기술(예를 들어, 증착 챔버들)만을 하나의 처리 시스템에 위치시키고 다른 처리 기술(예를 들어, 식각 챔버들)은 다른 처리 시스템에 위치시키는 것이 반도체 제조 산업에서 일반적이다. 종래의 반도체 장비에서 발견되는, 처리 기술들의 분할은 다양한 상이한 반도체 제조 프로세스들이 기판에 대해 수행될 수 있도록 기판들이 하나의 처리 시스템에서 다른 처리 시스템으로 이송되는 것을 요구한다. 다양한 처리 시스템들 사이에서 수행되는 이송 프로세스는 기판들을 다양한 형태들의 오염 및 입자들에 노출시킨다.
그러므로, 복합 디바이스들을 형성할 수 있고, 오늘날의 반도체 처리에 영향을 주는 공통적인 오염 및 입자 공급원들을 회피하는 처리 장비 및 프로세스가 필요하다.
본 개시내용의 실시예들은 반도체 처리를 위한 방법을 포함한다. 제1 물질이 처리 시스템의 제1 처리 챔버에서 선택적으로 식각된다. 제1 물질은, 기판 상의 채널 영역에 있는, 제1 물질 및 제2 물질의 교번하는 층들 내에 배치된다. 제2 물질의 일부는 처리 시스템의 제1 처리 챔버에서 트리밍된다. 기판은, 기판을 처리 시스템 외부의 주변 환경에 노출시키지 않고 처리 시스템의 제1 처리 챔버로부터 처리 시스템의 제2 처리 챔버로 이송된다. 처리 시스템의 제2 처리 챔버에서, 트리밍된 제2 물질의 각각의 층들 상에 피복 층이 에피택셜 성장된다.
본 개시내용의 실시예들은 또한, 반도체 처리 시스템을 포함한다. 반도체 처리 시스템은 이송 장치, 이송 장치에 결합된 제1 처리 챔버, 이송 장치에 결합된 제2 처리 챔버, 및 시스템 제어기를 포함한다. 시스템 제어기는 제1 처리 챔버에서 수행되는 선택적 식각 프로세스를 제어하도록 구성된다. 선택적 식각 프로세스는, 기판 상의 채널 영역 내에 배치된, 제1 물질 및 제2 물질의 교번하는 층들에 있는 제1 물질을 선택적으로 제거한다. 시스템 제어기는 제1 처리 챔버에서 수행되는 트리밍 프로세스를 제어하도록 구성된다. 트리밍 프로세스는 제2 물질의 일부를 트리밍한다. 시스템 제어기는 제1 처리 챔버로부터 이송 장치를 통한 제2 처리 챔버로의 기판의 이송을 제어하도록 구성된다. 시스템 제어기는 제2 처리 챔버에서 수행되는 에피택셜 성장 프로세스를 제어하도록 구성된다. 에피택셜 성장 프로세스는, 트리밍된 제2 물질의 각각의 층들 상에 피복 층을 증착시킨다.
본 개시내용의 실시예들은, 프로세서에 의해 실행될 때 컴퓨터 시스템으로 하여금 작동들을 수행하게 하는 명령어들을 저장하는 비일시적 컴퓨터 판독가능 매체를 포함하는 반도체 처리 시스템을 더 포함한다. 작동들은, 처리 시스템의 제1 처리 챔버에서의 선택적 식각 프로세스를 제어하는 것을 포함한다. 선택적 식각 프로세스는, 기판 상의 채널 영역 내에 배치된, 제1 물질 및 제2 물질의 교번하는 층들에 있는 제1 물질을 선택적으로 제거한다. 작동들은, 제1 처리 챔버에서의 트리밍 프로세스를 제어하는 것을 포함한다. 트리밍 프로세스는 제2 물질의 일부를 트리밍한다. 작동들은, 처리 시스템의 제1 처리 챔버로부터 처리 시스템의 이송 장치를 통한 제2 처리 챔버로의 기판의 이송을 제어하는 것을 포함한다. 제1 처리 챔버 및 제2 처리 챔버는 이송 장치에 결합된다. 작동들은, 제2 처리 챔버에서의 에피택셜 성장 프로세스를 제어하는 것을 포함한다. 에피택셜 성장 프로세스는, 트리밍된 제2 물질의 각각의 층들 상에 피복 층을 증착시킨다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 더 구체적인 설명이 예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 예들을 허용할 수 있기 때문에, 첨부 도면들은 일부 예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 일부 예들에 따른 예시적인 다중 챔버 처리 시스템의 개략적인 상면도이다.
도 2는 본 개시내용의 일부 예들에 따른, 세정 프로세스를 수행하는 데에 사용될 수 있는 처리 챔버의 단면도이다.
도 3은 본 개시내용의 일부 예들에 따른, 선택적 식각 프로세스 및 트리밍 프로세스를 수행하는 데에 사용될 수 있는 처리 챔버의 단면도이다.
도 4는 본 개시내용의 일부 예들에 따른, 에피택셜 성장 프로세스를 수행하는 데에 사용될 수 있는 열 처리 챔버의 단면도이다.
도 5는 본 개시내용의 일부 예들에 따른 반도체 처리 방법의 흐름도이다.
도 6, 7a, 7b, 8a, 8b, 9a, 9b, 10a, 10b, 11a, 11b, 12a, 12b, 13a, 및 13b는 본 개시내용의 일부 예들에 따른, 도 5의 방법의 양상들을 예시하는 중간 반도체 구조들의 다양한 도면들이다.
이해를 용이하게 하기 위해, 가능한 모든 경우에, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다.
일반적으로, 본원에 설명된 예들은, 초격자의 일부로서 형성된 트리밍된 층들 상에 피복 층들을 형성하기 위한 반도체 처리 시스템들 및 방법들에 관한 것이다. 그러한 처리에 의해 형성된 구조들은, 예를 들어, 수평 게이트 올 어라운드 전계 효과 트렌지스터들(hGAA FET들)에 구현될 수 있다. 방법들 및 반도체 처리 시스템들은, 트리밍될 층들을 트리밍하고 그 후에, 트리밍된 층들 상에 피복 층들을 에피택셜 성장시키기 위한 통합 해법을 제공할 수 있다.
반도체 처리에서, 디바이스들, 예컨대, FET들의 치수들이 계속 감소한다. 치수들이 감소할 때, 치수들의 임의의 손실 또는 변동은 증가된 영향을 가질 수 있다. 치수들의 손실 및 변동은, 반도체 구조들에 대해 수행될 수 있는 세정 프로세스들의 결과로서 일어날 수 있다. 예를 들어, 세정 프로세스는, 구조가 상부에 형성된 기판이, (예를 들어, 전방 개구부 통합 포드(FOUP)의) 상이한 처리 시스템들 간에 대기 주변 환경(예를 들어, 제조 설비("팹(fab)")의 환경)에서 운송된 이후에 수행될 수 있다. 그러한 운송 동안, 대기 주변 환경에 대한 노출의 결과로서 산화물이 구조 상에 형성될 수 있고, 형성된 산화물을 제거하기 위해 세정 프로세스가 수행될 수 있다. 그러나, 산화물의 제거는, 기판 상의 구조의 일부 치수들의 손실 및 변동을 초래할 수 있다.
본원에 제공된 일부 예들은, 기판을 대기 주변 환경에 노출시키지 않고, 층들을 트리밍하는 제1 처리 챔버로부터, 피복 층들을 에피택셜 성장시키는 제2 처리 챔버로 기판이 이송될 수 있는 처리 시스템을 제공한다. 예를 들어, 기판은, 기판이 이송되는 저압 또는 진공 환경을 유지하는 이송 장치(하나 이상의 이송 챔버를 포함함) 내에서 이송될 수 있다. 그러한 통합 해법을 제공함으로써, 대기 주변 환경에 대한 기판의 노출이 회피될 수 있고, 이는 추가로, 제1 처리 챔버에서 수행되는 트리밍 프로세스와 제2 처리 챔버에서 수행되는 에피택셜 성장 프로세스 사이에서 세정 프로세스들이 수행될 필요를 없앨 수 있다. 그러한 세정을 없애는 것은 전체 처리를 감소시킬 수 있고, Q-시간을 감소시킬 수 있다. 추가적으로, 그러한 처리에 따라 채널 영역으로서 피복 층들을 갖고 형성된 FET들(예를 들어, hGAA FET들)은 개선된 임계 전압, 개선된 구동 전류, 및 개선된 신뢰성을 가질 수 있다.
다양한 상이한 예들이 아래에 설명된다. 상이한 예들의 다수의 특징들이 프로세스 흐름 또는 시스템에서 함께 설명될 수 있지만, 다수의 특징들은 각각, 별도로 또는 개별적으로 그리고/또는 상이한 프로세스 흐름 또는 상이한 시스템에서 구현될 수 있다. 추가적으로, 다양한 프로세스 흐름들이 순서대로 수행되는 것으로 설명되며; 다른 예들은 프로세스 흐름들을 상이한 순서들로 그리고/또는 더 많거나 더 적은 작동들로 구현할 수 있다.
도 1은 본 개시내용의 일부 예들에 따른 다중 챔버 처리 시스템(100)의 예의 개략적인 상면도이다. 처리 시스템(100)은 일반적으로, 팩토리 인터페이스(102), 로드 록 챔버들(104, 106), 각각의 이송 로봇들(110, 118)을 갖는 이송 챔버들(108, 116), 유지 챔버들(112, 114), 및 처리 챔버들(120, 122, 124, 126, 128, 130)을 포함한다. 본원에서 상세한 바와 같이, 처리 시스템(100)에 있는 기판들은 다양한 챔버들에서 처리될 수 있고, 처리 시스템(100) 외부의 주변 환경(예를 들어, 팹에 존재할 수 있는 바와 같은 대기 주변 환경)에 기판들을 노출시키지 않고 다양한 챔버들 간에 이송될 수 있다. 예를 들어, 기판들은, 처리 시스템(100)에서 기판들에 대해 수행되는 다양한 프로세스들 간에 저압(예를 들어, 약 300 Torr 이하) 또는 진공 환경을 파괴하지 않고 저압 또는 진공 환경에서 다양한 챔버들에서 처리되고 이들 간에 이송될 수 있다. 이에 따라, 처리 시스템(100)은 기판들의 일부 처리에 대한 통합 해법을 제공할 수 있다.
본원에 제공되는 교시들에 따라 적합하게 수정될 수 있는 처리 시스템의 예들은, 캘리포니아주 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 상업적으로 입수가능한, 엔듀라®(Endura®), 프로듀서®(Producer®) 또는 센츄라®(Centura®) 통합 처리 시스템들 또는 다른 적합한 처리 시스템들을 포함한다. 다른 처리 시스템들(다른 제조업자들로부터의 처리 시스템들을 포함함)이, 본원에 설명된 양상들로부터 이익을 얻도록 적응될 수 있다는 것이 고려된다.
도 1의 예시된 예에서, 팩토리 인터페이스(102)는 기판들의 이송을 용이하게 하기 위해 도킹 스테이션(140) 및 팩토리 인터페이스 로봇들(142)을 포함한다. 도킹 스테이션(140)은 하나 이상의 전방 개구부 통합 포드들(FOUP들)(144)을 수용하도록 구성된다. 일부 예들에서, 각각의 팩토리 인터페이스 로봇(142)은 일반적으로, 기판들을 팩토리 인터페이스(102)로부터 로드 록 챔버들(104, 106)로 이송하도록 구성된 각각의 팩토리 인터페이스 로봇(142)의 일 단부 상에 배치된 블레이드(148)를 포함한다.
로드 록 챔버들(104, 106)은, 팩토리 인터페이스(102)에 결합된 각각의 포트들(150, 152) 및 이송 챔버(108)에 결합된 각각의 포트들(154, 156)을 갖는다. 이송 챔버(108)는, 유지 챔버들(112, 114)에 결합된 각각의 포트들(158, 160) 및 처리 챔버들(120, 122)에 결합된 각각의 포트들(162, 164)을 더 갖는다. 유사하게, 이송 챔버(116)는, 유지 챔버들(112, 114)에 결합된 각각의 포트들(166, 168) 및 처리 챔버들(124, 126, 128, 130)에 결합된 각각의 포트들(170, 172, 174, 176)을 갖는다. 포트들(154, 156, 158, 160, 162, 164, 166, 168, 170, 172, 174, 176)은, 예를 들어, 이송 로봇들(110, 118)에 의해 기판들을 통과시키고, 가스가 각각의 챔버들 간에 통과하는 것을 방지하기 위해 각각의 챔버들 간에 밀봉을 제공하기 위한 슬릿 밸브들을 갖는 슬릿 밸브 개구부들일 수 있다. 일반적으로, 임의의 포트는 그를 통해 기판을 이송하기 위해 개방되고; 그렇지 않으면, 포트는 폐쇄된다.
로드 록 챔버들(104, 106), 이송 챔버들(108, 116), 유지 챔버들(112, 114), 및 처리 챔버들(120, 122, 124, 126, 128, 130)은 가스 및 압력 제어 시스템(구체적으로 예시되지 않음)에 유체적으로(fluidly) 결합될 수 있다. 가스 및 압력 제어 시스템은, 하나 이상의 가스 펌프(예를 들어, 터보 펌프들, 저온 펌프들, 러핑 펌프들 등), 가스 공급원들, 다양한 밸브들, 및 다양한 챔버들에 유체적으로 결합된 도관들을 포함할 수 있다. 작동 시에, 팩토리 인터페이스 로봇(142)은 기판을 FOUP(144)로부터 포트(150 또는 152)를 통해 로드 록 챔버(104 또는 106)로 이송한다. 그 다음, 가스 및 압력 제어 시스템은 로드 록 챔버(104 또는 106)를 펌핑 다운한다. 가스 및 압력 제어 시스템은 이송 챔버들(108, 116) 및 유지 챔버들(112, 114)을 내부 저압 또는 진공 환경(불활성 가스를 포함할 수 있음)으로 더 유지한다. 그러므로, 로드 록 챔버(104 또는 106)의 펌핑 다운은, 예를 들어, 팩토리 인터페이스(102)의 대기 환경과 이송 챔버(108)의 저압 또는 진공 환경 간에 기판을 통과시키는 것을 용이하게 한다.
펌핑 다운된 로드 록 챔버(104 또는 106)에 기판이 있는 상태에서, 이송 로봇(110)은 기판을 로드 록 챔버(104 또는 106)로부터 포트(154 또는 156)를 통해 이송 챔버(108) 내로 이송한다. 그 다음, 이송 로봇(110)은 처리를 위해 각각의 포트들(162, 164)을 통해 처리 챔버들(120, 122) 및 추가적인 이송을 기다리도록 유지하기 위해 각각의 포트들(158, 160)을 통해 유지 챔버들(112, 114) 중 임의의 챔버로 그리고/또는 임의의 챔버들 간에 기판을 이송할 수 있다. 유사하게, 이송 로봇(118)은 포트(166 또는 168)를 통해 유지 챔버(112 또는 114)의 기판에 접근할 수 있고, 처리를 위해 각각의 포트들(170, 172, 174, 176)을 통해 처리 챔버들(124, 126, 128, 130) 및 추가적인 이송을 기다리도록 유지하기 위해 각각의 포트들(166, 168)을 통해 유지 챔버들(112, 114) 중 임의의 챔버로 그리고/또는 임의의 챔버들 간에 기판을 이송할 수 있다. 다양한 챔버들 내에서 그리고 그 사이에서의 기판의 이송 및 유지는, 가스 및 압력 제어 시스템에 의해 제공되는 저압 또는 진공 환경에 있을 수 있다.
처리 챔버들(120, 122, 124, 126, 128, 130)은 기판을 처리하기 위한 임의의 적절한 챔버일 수 있다. 일부 예들에서, 처리 챔버(122)는 세정 프로세스를 수행할 수 있고; 처리 챔버(120)는 식각 프로세스를 수행할 수 있고; 처리 챔버들(124, 126, 128, 130)은 각각의 에피택셜 성장 프로세스들을 수행할 수 있다. 처리 챔버(122)는, 캘리포니아주 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 시코니™(SiCoNi™) 사전세정 챔버일 수 있다. 처리 챔버(120)는, 캘리포니아주 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 셀렉트라™(Selectra™) 식각 챔버일 수 있다.
시스템 제어기(190)는 처리 시스템(100) 또는 그의 구성요소들을 제어하기 위해 처리 시스템(100)에 결합된다. 예를 들어, 시스템 제어기(190)는 처리 시스템(100)의 챔버들(104, 106, 108, 112, 114, 116, 120, 122, 124, 126, 128, 130)의 직접 제어를 사용하거나 챔버들(104, 106, 108, 112, 114, 116, 120, 122, 124, 126, 128, 130)과 연관된 제어기들을 제어함으로써 처리 시스템(100)의 작동을 제어할 수 있다. 작동 시에, 시스템 제어기(190)는, 처리 시스템(100)의 성능을 조정하기 위해, 각각의 챔버들로부터의 데이터 수집 및 피드백을 가능하게 한다.
시스템 제어기(190)는 일반적으로, 중앙 처리 유닛(CPU)(192), 메모리(194) 및 지원 회로들(196)을 포함한다. CPU(192)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 프로세서 중 하나일 수 있다. 메모리(194), 또는 비일시적 컴퓨터 판독가능 매체는 CPU(192)에 의해 접근가능하며, 메모리, 예컨대, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소 중 하나 이상일 수 있다. 지원 회로(196)는 CPU(192)에 결합되며, 캐시, 클럭 회로들, 입력/출력 하위시스템들, 전력 공급부들 등을 포함할 수 있다. 본원에 개시된 다양한 방법들은 일반적으로, CPU(192)의 제어 하에서, 메모리(194)에(또는 특정 프로세스 챔버의 메모리에) 저장된 컴퓨터 명령어 코드, 예를 들어, 소프트웨어 루틴을 실행하는 CPU(192)에 의해 구현될 수 있다. 컴퓨터 명령어 코드가 CPU(192)에 의해 실행될 때, CPU(192)는 다양한 방법들에 따른 프로세스들을 수행하기 위해 챔버들을 제어한다.
다른 처리 시스템들은 다른 구성들일 수 있다. 예를 들어, 더 많거나 더 적은 처리 챔버들이 이송 장치에 결합될 수 있다. 예시된 예에서, 이송 장치는 이송 챔버들(108, 116) 및 유지 챔버들(112, 114)을 포함한다. 다른 예들에서, 더 많거나 더 적은 이송 챔버들(예를 들어, 하나의 이송 챔버) 및/또는 더 많거나 더 적은 유지 챔버들(예를 들어, 유지 챔버들이 없음)이 처리 시스템의 이송 장치로서 구현될 수 있다.
도 2는 세정 프로세스를 수행하는 데에 사용될 수 있는 처리 챔버(122)의 단면도이다. 처리 챔버(122)는, 캘리포니아주 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 시코니® 사전세정 챔버일 수 있다. 처리 챔버(122)는 챔버 몸체(212), 덮개 조립체(214), 및 지지 조립체(216)를 포함한다. 덮개 조립체(214)는 챔버 몸체(212)의 상단부에 배치되고, 지지 조립체(216)는 챔버 몸체(212) 내에 적어도 부분적으로 배치된다. 챔버 몸체(212), 덮개 조립체(214), 및 지지 조립체(216)는 함께, 기판이 처리될 수 있는 영역을 한정한다.
덮개 조립체(214)는 적어도 2개의 적층된 구성요소들을 포함하고, 이 구성요소들은 그 사이에 플라즈마 영역을 형성하도록 구성된다. 제1 전극(220)은 제2 전극(222) 위에 수직으로 배치되어 그 사이에 플라즈마 체적을 한정한다. 제1 전극(220)은 무선 주파수(RF) 전원(224)에 연결되고, 제2 전극(222)은 접지에 연결되며, 이는 제1 전극(220)과 제2 전극(222) 사이에 커패시턴스를 형성한다.
덮개 조립체(214)는 또한, 차단기 판(228) 및 가스 분배 판(230), 예컨대, 샤워헤드를 통해 기판 표면에 세정 가스를 제공하기 위한 하나 이상의 가스 유입구(226)를 포함한다. 세정 가스는 식각제, 이온화된 가스 또는 활성 라디칼, 예컨대, 이온화된 플루오린, 염소, 또는 암모니아일 수 있다. 다른 예들에서, 기판 표면을 세정하기 위해 상이한 세정 프로세스가 활용될 수 있다. 예를 들어, He 및 NF3를 함유하는 원격 플라즈마가 가스 분배 판(230)을 통해 처리 챔버(122) 내로 도입될 수 있는 반면, NH3는 챔버 몸체(212)의 일 측에 배치된 별도의 가스 유입구(225)를 통해 처리 챔버(122) 내에 직접 주입될 수 있다.
지지 조립체(216)는, 처리 동안 상부에 기판(210)을 지지하기 위한 기판 지지부(232)를 포함할 수 있다. 기판 지지부(232)는 처리될 기판을 지지부 상에 지지하기 위해 평평한 기판 지지 표면을 갖는다. 기판 지지부(232)는, 챔버 몸체(212)의 바닥에 형성된 중앙에 위치된 개구부를 통해 연장되는 샤프트(236)에 의해 작동기(234)에 결합될 수 있다. 작동기(234)는 샤프트(236) 주위로부터 진공 누설을 방지하는 벨로우즈(도시되지 않음)에 의해 챔버 몸체(212)에 가요적으로(flexibly) 밀봉될 수 있다. 작동기(234)는 기판 지지부(232)가 챔버 몸체(212) 내에서, 프로세스 위치와 더 낮은 이송 위치 사이에서 수직으로 이동되는 것을 허용한다. 이송 위치는 챔버 몸체(212)의 측벽에 형성된 슬릿 밸브 개구부의 개구부의 약간 아래에 있다. 작동 시에, 기판 지지부(232)는 처리되고 있는 기판(210)의 온도를 제어하기 위해 덮개 조립체(214)에 매우 근접한 위치까지 상승될 수 있다. 이로써, 기판(210)은 가스 분배 판(230)으로부터의 대류 또는 방출된 방사선을 통해 가열될 수 있다.
바이어스 RF 전력 공급부(280)는 정합 네트워크(284)를 통해 기판 지지부(232)에 결합될 수 있다. 바이어스 RF 전력 공급부(280)는 이온화된 세정 가스를 기판(210) 쪽으로 지향시키기 위해 기판(210)에 바이어스를 제공한다.
처리 시스템(100)의 가스 및 압력 제어 시스템의 일부일 수 있는 진공 시스템은 가스들을 처리 챔버(122)로부터 제거하는 데에 사용될 수 있다. 진공 시스템은 챔버 몸체(212)에 배치된 진공 포트(221)에 결합된 진공 펌프(218)를 포함한다. 처리 챔버(122)는 또한, 처리 챔버(122) 내의 프로세스들을 제어하기 위한 제어기(도시되지 않음)를 포함하며, 제어기는 시스템 제어기(190) 또는 시스템 제어기(190)에 의해 제어되는 제어기일 수 있다.
도 3은 선택적 식각 프로세스 및 트리밍 프로세스를 수행하는 데에 사용될 수 있는 처리 챔버(120)의 단면도이다. 처리 챔버(120)는, 캘리포니아주 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 셀렉트라® 식각 챔버일 수 있다. 처리 챔버(120)는 챔버 몸체(302), 덮개 조립체(304), 및 지지 조립체(306)를 포함한다. 덮개 조립체(304)는 챔버 몸체(302)의 상단부에 배치되고, 지지 조립체(306)는 챔버 몸체(302) 내에 적어도 부분적으로 배치된다. 챔버 몸체(302), 덮개 조립체(304), 및 지지 조립체(306)는 함께, 기판이 처리될 수 있는 영역을 한정한다. 다음의 설명으로부터 명백한 바와 같이, 처리 챔버(120)는 하나 이상의 용량성 결합된 플라즈마(CCP)를 구현할 수 있다.
덮개 조립체(304)는 RF 전극(308)을 포함한다. 가스 유입구 튜브(310)는 RF 전극(308)을 통해 연장되고, 가스 매니폴드(312)에 추가로 결합된다. 유동 중심맞춤 인서트(314)가 가스 유입구 튜브(310)에 배치될 수 있다. 가스 공급원(316)은 가스 매니폴드(312)를 통해 가스 유입구 튜브(310)에 유체적으로 결합된다. 가스 공급원(316)은 가스 유입구 튜브(310)를 통해 그리고 추가로, 유동 중심맞춤 인서트(314)를 통해 가스의 유동(318)을 제공할 수 있다. RF 전원(320) 및 RF 정합 네트워크(322)는 RF 전극(308)에 결합되고, 따라서, 가스 유입구 튜브(310)에도 결합된다.
차단기 판(324)은 RF 전극(308)에 결합되고, RF 전극(308)과 동일한 전위로 유지될 수 있다. 차단기 판(324)은 차단기 판(324)을 통한 애퍼쳐들을 갖고, 애퍼쳐들은 가스가 차단기 판(324)을 통해 유동하는 것을 허용한다. 유사하게, 가스 분배 판(326)은 RF 전극(308)에 결합되고, RF 전극(308)과 동일한 전위로 유지될 수 있다. 가스 분배 판(326)은 RF 전극(308)으로부터 차단기 판(324)보다 더 멀리 있다. 가스 분배 판(326)은 또한, 가스 분배 판(326)을 통한 애퍼쳐들을 갖고, 애퍼쳐들은 가스가 가스 분배 판(326)을 통해 유동하는 것을 허용한다. 차단기 판(324) 및 가스 분배 판(326)은, 챔버(120)에 있는 가스의 공급원(예를 들어, 가스 유입구 튜브(310))에 대향하는, 차단기 판(324) 및 가스 분배 판(326)의 각각의 측들 상에서 가스 유동이 더 균일하도록 가스의 유동을 재지향하는 역할을 할 수 있다.
절연체(330)는 가스 분배 판(326)을 가스 분배 디바이스(334)으로부터 분리하고 전기적으로 절연한다. 가스 분배 디바이스(334)는 접지된다. 가스 분배 디바이스(334)는 접지되며, 가스 분배 디바이스(334)를 통한 애퍼쳐들을 갖는다. 가스 분배 판(326), 가스 분배 디바이스(334), 및 절연체(330)의 표면들은 제1 플라즈마 영역(332)(예를 들어, 원격 플라즈마 영역)을 한정한다. 플라즈마는, 차단기 판(324) 및 가스 분배 판(326)을 통과하는 가스의 유동(318)이 가스 유입구 튜브(310)를 통해 제공되고 RF 에너지가 RF 전원(320)에 의해 RF 전극(308) 및 가스 분배 판(326)을 통해 제공될 때, 제1 플라즈마 영역(332)에 생성될 수 있다. 플라즈마가 제1 플라즈마 영역(332)에 생성될 때 플라즈마 생성물(예를 들어, 라디칼들, 이온들, 및 전자들)이 가스 분배 디바이스(334)를 통과할 수 있다. 일반적으로, 가스 분배 판(326)과 프로세스 영역(352) 사이의, 접지된 가스 분배 디바이스(334)의 위치는, 가스 분배 판(334) 위에 형성된 플라즈마에서 이온화된 가스들이 처리 동안 기판의 표면에 도달하는 것을 최소화하거나 방지한다. 이온을 함유하는 처리 가스에 대한 감소된 노출은, 플라즈마로 생성된 이온들에 의한 기판의 표면의 충돌로 인해 기판에 유도되는 손상의 양을 최소화하거나 방지한다.
가스 분배 디바이스(334)는, 제1 플라즈마 영역(332)으로부터 멀리 있는, 가스 분배 디바이스(334)의 측 상에 하나 이상의 추가적인 가스를 도입하는 데에 사용될 수 있는, 가스 공급원(338)에 유체적으로 결합된 채널들(336)을 더 갖는다. 가스 공급원(338)은 채널들(336)을 통해 가스의 유동(340)을 제공할 수 있다. 가열 요소(342)가 가스 분배 디바이스(334) 또는 다른 구성요소들에 배치될 수 있고, 제1 플라즈마 영역(332)에서의 플라즈마의 유지 및 열 분배를 용이하게 할 수 있다.
지지 조립체(306)는 챔버 몸체(302)에 의해 지지되는 기판 지지부(348)를 포함한다. 지지 조립체(306)는, 기판(350)을 지지하도록 구성된다. 제2 플라즈마 영역(예를 들어, 직접 플라즈마 영역)은 가스 분배 디바이스(334)와 기판(350) 사이의 프로세스 영역(352)에 한정된다. 유동(318)으로부터의 가스들 및 제1 플라즈마 영역(332)으로부터의 플라즈마 생성물들은 가스 분배 디바이스(334)를 통과해 프로세스 영역(352) 내에 전달될 수 있다. 기판 지지부(348)는 처리 동안 바이어스를 제공하기 위해 RF 전원(354)에 추가로 연결된다. 플라즈마는, 가스의 유동(340)이 가스 분배 디바이스(334)의 채널들(336)을 통해 제공되고 RF 에너지가 RF 전원(354)에 의해 기판 지지부(348)에 제공될 때, 프로세스 영역(352)의 제2 플라즈마 영역에 생성될 수 있다.
지지 조립체(306)는 정전 척(ESC)을 포함할 수 있다. 기판 지지부(348)는, 챔버 몸체(302)의 바닥에 형성된 중앙에 위치된 개구부를 통해 연장되는 샤프트(358)에 의해 작동기(356)에 결합될 수 있다. 작동기(356)는 샤프트(358) 주위로부터 진공 누설을 방지하는 벨로우즈(도시되지 않음)에 의해 챔버 몸체(302)에 가요적으로 밀봉될 수 있다. 작동기(356)는 기판 지지부(348)가 챔버 몸체(302) 내에서, 프로세스 위치와 더 낮은 이송 위치 사이에서 수직으로 이동되는 것을 허용한다. 이송 위치는 챔버 몸체(302)의 측벽에 형성된 슬릿 밸브 개구부(도시되지 않음)의 약간 아래에 있다. 작동 시에, 기판 지지부(348)는 덮개 조립체(304)에 매우 근접한 위치까지 상승될 수 있다. 구체적으로 예시되지 않았지만, 기판 지지부(348)는 처리 동안 기판(350)을 목표 온도로 유지하기 위해 가열 요소 및 냉각 요소를 포함할 수 있다.
처리 시스템(100)의 가스 및 압력 제어 시스템의 일부일 수 있는 진공 시스템은 가스들을 처리 챔버(120)로부터 제거하는 데에 사용될 수 있다. 진공 시스템은 챔버 몸체(302)에 배치된 진공 포트(364)에 결합된 진공 펌프(362)를 포함한다. 처리 챔버(120)는 또한, 처리 챔버(120) 내의 프로세스들을 제어하기 위한 제어기(도시되지 않음)를 포함하며, 제어기는 시스템 제어기(190) 또는 시스템 제어기(190)에 의해 제어되는 제어기일 수 있다.
도 4는 에피택셜 성장을 수행하는 데에 사용될 수 있는 열 처리 챔버(400)의 단면도이다. 처리 챔버(400)는 챔버 몸체(402), 지원 시스템들(404), 및 제어기(406)를 포함한다. 챔버 몸체(402)는 상부 부분(412) 및 하부 부분(414)을 포함한다. 상부 부분(412)은 상부 돔(416)과 기판(401) 사이의 챔버 몸체(402) 내에 영역을 포함한다. 하부 부분(414)은 하부 돔(430)과 기판(401)의 바닥 사이의 챔버 몸체(402) 내에 영역을 포함한다. 증착 프로세스들은 일반적으로, 상부 부분(412) 내의 기판(401)의 상부 표면 상에서 일어난다.
지원 시스템(404)은 미리 결정된 프로세스들, 예컨대, 에피택셜 막들의 성장을 처리 챔버(400)에서 실행하고 모니터링하는 데에 사용되는 구성요소들을 포함한다. 제어기(406)는 지원 시스템(404)에 결합되고, 처리 챔버(400) 및 지원 시스템(404)을 제어하도록 적응된다. 제어기(406)는 처리 챔버(400) 내의 프로세스들을 제어하기 위한 시스템 제어기(190) 또는 시스템 제어기(190)에 의해 제어되는 제어기일 수 있다.
처리 챔버(400)는, 프로세스 챔버(400) 내에 위치된 구성요소들에게 열 에너지를 제공하도록 적응된 복수의 열 공급원들, 예컨대, 램프들(435)을 포함한다. 예를 들어, 램프들(435)은 기판(401), 서셉터(426), 및/또는 예열 링(423)에 열 에너지를 제공하도록 적응될 수 있다. 하부 돔(430)은, 하부 돔을 통한 열 방사선의 통과를 용이하게 하기 위해, 광학적으로 투명한 물질, 예컨대, 석영으로 형성될 수 있다. 램프들(435)이 하부 돔(430)뿐만 아니라 상부 돔(416)을 통해서도 열 에너지를 제공하도록 위치될 수 있다는 것이 고려된다.
챔버 몸체(402)는 챔버 몸체에 형성된 복수의 플레넘들을 포함한다. 플레넘들은 하나 이상의 가스 공급원(476), 예컨대, 캐리어 가스, 및 하나 이상의 전구체 공급원(478), 예컨대, 증착 가스들 및 도펀트 가스들과 유체 연통한다. 예를 들어, 제1 플레넘(420)이 제1 플레넘을 통해 챔버 몸체(402)의 상부 부분(412) 내에 증착 가스(450)를 제공하도록 적응될 수 있는 반면, 제2 플래넘(424)은 증착 가스(450)를 상부 부분(412)으로부터 배기하도록 적응될 수 있다. 그러한 방식으로, 증착 가스(450)는 기판(401)의 상부 표면에 평행하게 유동할 수 있다.
액체 전구체가 사용되는 경우들에서, 열 처리 챔버(400)는 액체 전구체 공급원(482)과 유체 연통하는 액체 기화기(480)를 포함할 수 있다. 액체 기화기(480)는 열 처리 챔버(400)에 전달되는 액체 전구체들을 기화시키는 데에 사용된다. 도시되지 않았지만, 액체 전구체 공급원(482)이, 예를 들어, 전구체 액체 및 용매 액체의 하나 이상의 앰플, 차단 밸브, 및 액체 유량계(LFM)를 포함할 수 있다는 것이 고려된다.
기판 지지 조립체(432)는 챔버 몸체(402)의 하부 부분(414)에 위치된다. 기판 지지 조립체(432)는 기판(401)을 처리 위치에 지지하는 것으로 예시된다. 기판 지지 조립체(432)는, 광학적으로 투명한 물질로 형성된 서셉터 지지 샤프트(427) 및 서셉터 지지 샤프트(427)에 의해 제제되는 서셉터(426)를 포함한다. 서셉터 지지 샤프트(427)의 샤프트(460)는, 리프트 핀 접촉부들(442)이 결합되는 슈라우드(431) 내에 위치된다. 서셉터 지지 샤프트(427)는 처리 동안 기판(401)의 회전을 용이하게 하기 위해 회전가능하다. 서셉터 지지 샤프트(427)의 회전은, 서셉터 지지 샤프트(427)에 결합된 작동기(429)에 의해 용이해진다. 슈라우드(431)는 일반적으로 제 위치에 고정되고, 그러므로, 처리 동안 회전하지 않는다. 지지 핀들(437)이 서셉터 지지 샤프트(427)를 서셉터(426)에 결합시킨다.
리프트 핀들(433)은 서셉터 지지 샤프트(427)에 형성된 개구부들(표지되지 않음)을 통해 배치된다. 리프트 핀들(433)은 수직으로 작동가능하고, 기판(401)을 처리 위치(도시된 바와 같음)로부터 기판 제거 위치까지 들어 올리기 위해 기판(401)의 하부측과 접촉하도록 적응된다.
예열 링(423)은, 챔버 몸체(402)에 결합된 하부 라이너(440) 상에 제거가능하게 배치된다. 예열 링(423)은 챔버 몸체(402)의 내부 체적 주위에 배치되고, 기판(401)이 처리 위치에 있는 동안 기판(401)을 에워싼다. 예열 링(423)은, 예열 링(423)에 인접한 제1 플레넘(420)을 통해 프로세스 가스가 챔버 몸체(402)에 진입할 때 프로세스 가스의 예열을 용이하게 한다.
상부 돔(416)의 중앙 윈도우 부분(415) 및 하부 돔(430)의 바닥 부분(417)은 광학적으로 투명한 물질, 예컨대, 석영으로 형성될 수 있다. 중앙 윈도우 부분(415)의 둘레 주위에서 중앙 윈도우 부분(415)과 맞물리는, 상부 돔(416)의 주연부 플랜지(419), 바닥 부분의 둘레 주위에서 바닥 부분과 맞물리는, 하부 돔(430)의 주연부 플랜지(421)는 모두, 주연부 플랜지들에 근접한 O 링들(422)이 열 방사선에 직접적으로 노출되는 것을 보호하기 위해, 불투명한 석영으로 형성될 수 있다. 주연부 플랜지(419)는 광학적으로 투명한 물질, 예컨대, 석영으로 형성될 수 있다.
도 5는 본 개시내용의 일부 예들에 따른 반도체 처리 방법(500)의 흐름도이다. 도 6 내지 13b는 본 개시내용의 일부 예들에 따른 도 5의 방법(500)의 양상들을 예시한다. 본원에 설명된 예들은 p형 전계 효과 트랜지스터(FET), 예컨대, 수평 게이트 올 어라운드(hGAA) FET의 맥락에 있다. 관련 기술분야의 통상의 기술자는, 예를 들어, 상이한 물질들, 도핑 등을 사용하는 것을 포함하여, n형 FET, 예컨대, hGAA FET를 구현하기 위해, 설명된 예들에 대한 변형들을 쉽게 이해할 것이고, 그러한 변형들은 다른 예들의 범위 내에서 고려된다.
도 6은 일부 예들에 따른 처리 동안의 3차원 중간 구조를 예시한다. 도 6의 중간 구조를 획득하기 위해, 기판(2)이 제공된다. 기판(2)은 임의의 적절한 반도체 기판, 예컨대, 벌크 기판, 절연체상 반도체(SOI) 기판 등일 수 있다. 일부 예들에서, 기판(2)은 벌크 규소 웨이퍼이다. 기판 크기들의 예들은, 특히, 200 mm 직경, 350 mm 직경, 400 mm 직경, 및 450 mm 직경을 포함한다. n-웰(4)이 기판(2)에 형성된다. n-웰은, 예를 들어, 기판(2)에 n형 도펀트들을 주입하기 위해 이온 주입을 사용하여 형성될 수 있다. 예시적인 n형 도펀트들은 비소, 인 등, 또는 이들의 조합을 포함한다. 초격자가 기판(2) 상에 형성된다. 초격자는 상이한 물질들의 교번하는 층들(6, 8)을 포함한다. 일부 예들에서, 교번하는 층들(6, 8)은 각각 규소 게르마늄(Si1-xGex, 여기서 x는 약 0.10 내지 약 0.40 범위에 있음) 및 규소이다. 그러한 예들에서, 규소 게르마늄 층들(6)의 두께는 약 5 nm 내지 약 10 nm 범위에 있을 수 있고, 규소 층들(8)의 두께는 약 5 nm 내지 약 10 nm 범위에 있을 수 있다. 초격자의 교번하는 층들(6, 8)의 총 개수는 1 내지 5 범위에 있을 수 있다. 초격자의 교번하는 층들(6, 8)은 임의의 적절한 에피택셜 성장 프로세스를 사용하여 형성될 수 있다.
그 다음, 핀들(10)이 기판(2) 상에 형성된다. 핀들(10)은, 각각의 핀(10)이 트렌치들의 이웃하는 쌍 사이에 한정되도록, 형성된 초격자를 통해 기판(2) 내로(예를 들어, n-웰(4)을 통해) Y 방향으로 연장되는 트렌치들(11)을 식각함으로써 형성될 수 있다. 트렌치들은, 핀들(10) 사이의 목표 피치를 달성하기 위해 다수의 패터닝 프로세스, 예컨대, 자기 정렬 이중 패터닝(SADP), 리소그래피-식각-리소그래피-식각(LELE) 이중 패터닝 등을 사용하여 식각될 수 있다. 트렌치들을 식각하기 위한 예시적인 식각 프로세스는 반응성 이온 식각(RIE) 프로세스 등을 포함한다. 도 6에 예시된 바와 같이, 각각의 핀(10)은 (교번하는 층들(6, 8)의) 초격자, 기판(2)의 n-웰(4), 및 기판(2)의 부분(2A)을 포함한다.
격리 구조들(12)이 핀들(10) 사이의 트렌치들에 형성된다. 일부 예들에서, 격리 구조들(12)은 얕은 트렌치 격리들(STI들)로서 지칭될 수 있다. 핀들(10)에 대해 기밀 장벽을 형성하기 위해 라이너 층(도시되지 않음)이 트렌치들을 따라 등각으로 형성될 수 있고, 유전체 물질이 트렌치들의 라이너 층 상에 형성될 수 있다. 라이너 층은, 예를 들어, 원자 층 증착(ALD), 화학 기상 증착(CVD) 등에 의해 증착된 질화물(예를 들어, 질화규소)일 수 있다. 유전체 물질은, 예를 들어, 유동성 CVD(FCVD) 등에 의해 증착된 산화물(예를 들어, 산화규소)일 수 있다. 그 다음, 유전체 물질 및 라이너 층은 격리 구조들(12)을 형성하기 위해, 핀들(10)이 격리 구조들(12) 위로 돌출되도록, 함몰된다. 따라서, 일부 예들에서, 격리 구조들(12)은 핀들(10)을 따른 라이너 층 및 라이너 층 상에 형성된 유전체 물질을 포함한다. 예시된 예에서, 격리 구조들(12)의 최상부 표면들은, 핀들(10)의 적어도 일부를 형성하는, 기판(2)의 각각의 최상부 표면들에 또는 그 위에 있다. 다른 예들에서, 격리 구조들(12)의 최상부 표면들은, 상이한 높이들에, 예컨대, 기판(2)의 최상부 표면들 아래에 있을 수 있다.
도 7a는 일부 예들에 따른 추가적인 처리 이후의 3차원 중간 구조를 예시한다. 중간 구조는 단면(X-X) 및 단면(Y-Y)을 예시하고, 이 단면들은 서로에 대해 수직이다. 단면(X-X)은 핀(10)의 길이 방향을 따른(예를 들어, 핀(10)의 채널 영역들 및 소스/드레인 영역들에 걸친) 것이고, 단면(Y-Y)은 더미 게이트 구조(14)의 길이 방향을 따른(예를 들어, 각각의 핀들의 채널 영역들에 걸친) 것이다. 도 7b는, 핀(10)을 길이 방향으로 노출시키기 위해 단면(Y-Y)에 평행한 평면을 따라 중간 구조의 일부가 절취된, 도 7a의 3차원 중간 구조를 예시한다. 단면(Y-Y)은, 후속 처리 동안, "a"로 끝나는 후속 도면들에 대응하고, 단면(X-X)은, 후속 처리 동안, "b"로 끝나는 후속 도면들에 대응한다.
더미 게이트 구조들(14)이 핀들(10) 상에 형성된다. 각각의 더미 게이트 구조(14)는 핀들(10)을 따른 계면 유전체 층(도시되지 않음), 계면 유전체 층 상의 더미 게이트 층, 및 더미 게이트 층 상의 마스크 층을 포함할 수 있다. 예를 들어, 계면 유전체 층은 산화(예를 들어, 플라즈마, 화학적 및/또는 열 산화)를 사용하여 핀들(10) 상에 형성될 수 있거나, 적절한 증착 프로세스(예를 들어, ALD, CVD 등)를 사용하여 증착될 수 있다. 그 다음, 더미 게이트 층이 계면 유전체 층 상에 (예를 들어, CVD, 물리 기상 증착(PVD) 등에 의해) 증착될 수 있고 (예를 들어, 화학적 기계적 평탄화(CMP)에 의해) 평탄화될 수 있다. 그 다음, 마스크 층이 더미 게이트 층 상에 (예를 들어, CVD, PVD 등에 의해) 증착될 수 있다. 일부 예들에서, 계면 유전체 층은 산화물이고; 더미 게이트 층은 규소, 예컨대, 비정질 규소 및/또는 폴리규소이고; 마스크 층은 질화규소, 탄질화규소, 산질화규소 등이다. 그 다음, 마스크 층, 더미 게이트 층, 및 계면 유전체 층은, 적절한 식각 프로세스, 예컨대, RIE 등을 사용하여, 도 7a 및 7b에 예시된 더미 게이트 구조들(14)로 패터닝된다.
도 8a 및 8b는 일부 예들에 따른 추가적인 처리 이후의 중간 구조의 단면도들을 예시한다. 게이트 스페이서들(16)이 더미 게이트 구조들(14)의 측벽들을 따라 형성된다. 하나 이상의 유전체 층들이 핀들(10), 격리 구조들(12), 및 더미 게이트 구조들(14)의 표면들을 따라 등각으로 증착될 수 있고, 게이트 스페이서들(16)을 형성하기 위해 하나 이상의 유전체 층의 부분들이 더미 게이트 구조들(14)의 측벽들을 따라 남도록 이방성으로 식각될 수 있다. 게이트 스페이서들(16)의 하나 이상의 층은 질화규소, 탄질화규소, 산질화규소, 탄산질화규소 등일 수 있거나 이를 포함할 수 있고, ALD, 플라즈마 강화 CVD(PECVD) 등, 또는 이들의 조합을 사용하여 증착될 수 있다. 하나 이상의 유전체 층은 RIE 등을 사용하여 이방성으로 식각될 수 있다.
그 다음, 에피택셜 소스/드레인 영역들(18)이 더미 게이트 구조들(14)의 대향 측들 상의 핀들(10)에 형성된다. 함몰부들이 핀들(10)에 형성된다. 예를 들어, 더미 게이트 구조들(14)(예를 들어, 더미 게이트 구조들(14)의 마스크 층들) 및 게이트 스페이서들(16)을 식각 프로세스를 위한 마스크들로서 사용하여 식각 프로세스가 수행될 수 있다. 식각 프로세스는 이방성 및/또는 등방성일 수 있다. 예를 들어, 식각 프로세스는 RIE 등일 수 있거나, 예컨대, 테트라메틸암모늄 히드록시드(TMAH) 등을 사용하는 습식 식각일 수 있다. 그 다음, 에피택셜 소스/드레인 영역들(18)은 함몰부들에서 에피택셜 성장될 수 있다. p형 FET의 경우, 에피택셜 소스/드레인 영역들(18)은, 약 1x1020 cm-3 내지 약 1x1022 cm-3 범위의 농도까지 p형 도펀트, 예컨대, 붕소로 도핑될 수 있는, 규소 게르마늄(Si1-xGex, 여기서 x는 약 0.05 내지 약 0.75 범위에 있음) 또는 다른 적절한 물질일 수 있거나 이를 포함할 수 있다. 일부 예들에서, 에피택셜 소스/드레인 영역들(18)의 벌크 물질이 증착되기 전에 에피택셜 라이너 층이 함몰부들의 표면들을 따라 증착될 수 있다. 에피택셜 라이너 층은 후속 처리 동안 식각 선택성을 제공할 수 있다. 에피택셜 성장은 저압 CVD(LPCVD), 금속-유기 CVD(MOCVD), 액상 에피택시(LPE) 등, 또는 이들의 조합을 사용하여 수행될 수 있다. 도 8a에 예시된 바와 같이, 함몰부들, 그리고 따라서, 에피택셜 소스/드레인 영역들(18)이 핀들(10)의 기판(2)의 각각의 최상부 표면들에 형성된다. 다른 예들에서, 함몰부들은 상이한 높이들에 형성될 수 있는데, 예컨대, 기판(2)의 최상부 표면들 위 또는 기판(2)의 최상부 표면들 아래의 초격자의 바닥 표면을 가질 수 있다.
접촉 식각 정지 층(CESL)(20)이 더미 게이트 구조들(14), 게이트 스페이서들(16), 에피택셜 소스/드레인 영역(18), 및 격리 구조들(12)의 표면들 상에 등각으로 형성된다. CESL(20)은 ALD, PECVD 등, 또는 이들의 조합을 사용하여 증착된 질화규소, 산질화규소, 탄산질화규소, 탄질화규소 등일 수 있거나 이를 포함할 수 있다. 층간 유전체(ILD0)(22)가 CESL(20) 상에 형성된다. ILD0(22)는 산화규소, 탄산화규소, 보로실리케이트 유리(BSG), 포스포실리케이트 유리(PSG), 보로포스포실리케이트 유리(BPSG), 플루오로실리케이트 유리(FSG), 저-k 유전체 등, 또는 이들의 조합일 수 있거나 이를 포함할 수 있고, CVD, PVD 등, 또는 이들의 조합에 의해 증착될 수 있다.
도 9a 및 9b는 일부 예들에 따른 추가적인 처리 이후의 중간 구조의 단면도들을 예시한다. 도 5의 방법(500)의 블록(502)에 따라, 더미 게이트 구조가 제거된다. 더미 게이트 구조(14)를 노출시키기 위해, 예컨대, CMP 프로세스에 의해 ILD0(22) 및 CESL(20)가 평탄화된다. 평탄화는 또한, 더미 게이트 구조(14)의 마스크 층을 제거할 수 있다. 그 다음, 더미 게이트 구조(14)의 더미 게이트 층이, 예컨대, 더미 게이트 층의 물질에 대해 선택적인 습식 또는 건식 식각 프로세스에 의해 제거되며, 이러한 프로세스는 계면 유전체 층을 식각 정지부로서 사용할 수 있다. 계면 유전체 층이 또한 제거될 수 있거나, 남을 수 있다.
블록(504)에 따르면, 그 다음에, 도 9a 및 9b의 중간 구조를 갖는 기판(2)은 처리 시스템, 예컨대, 도 1의 처리 시스템(100)으로 이송된다. 예를 들어, 기판(2)은 FOUP(144)에 의해 팩토리 인터페이스(102)로 이송되고, 여기서, 팩토리 인터페이스 로봇(142)은 기판(2)을 FOUP(144)로부터 포트(150 또는 152)를 통해 로드 록 챔버(104 또는 106)로 더 이송한다. 그 다음, 로드 록 챔버(104 또는 106)는 위에서 설명된 바와 같이 펌핑 다운된다. 블록(506)에 의해 도시된 바와 같이, 예를 들어, 기판(2)을 처리 시스템(100) 외부의 대기 주변 환경에 노출시키지 않고 그리고 처리 시스템의 이송 장치 내에 유지되는 저압 또는 진공 환경을 파괴하지 않고, 후속 이송들 및 처리들이 처리 시스템(100)에서 수행된다. 블록(506)에 예시된 처리는 단지 예일 뿐이다. 블록(506)의 일부 프로세스들은 처리 시스템(100)에서 수행되지 않을 수 있고/거나 추가적인 프로세스가 처리 시스템(100)에서 수행될 수 있다.
블록(508)에서, 기판(2)은 처리 시스템(100)의 제1 처리 챔버, 예를 들어, 처리 챔버(122)로 이송된다. 예를 들어, 이송 로봇(110)은 기판(2)을 포트(154 또는 156)를 통해 로드 록 챔버(104 또는 106)로부터 포트(164)를 통해 처리 챔버(122)로 이송한다. 블록(510)에서, 세정 프로세스가 처리 챔버(122)에서 기판(2)에 대해 수행된다. 세정 프로세스는 시코니® 사전세정 프로세스일 수 있다. 세정 프로세스는 임의의 계면 유전체 층 및/또는 더미 게이트 구조들(14)(예를 들어, 더미 게이트 층들)이 제거된 핀들(10) 상에 형성된 자연 산화물을 제거할 수 있다. 세정 프로세스는, 예컨대, 교번하는 층들(6, 8)로부터 산화물을 제거함으로써, 교번하는 층들(6, 8)을 세정할 수 있다.
도 2에 예시된 처리 챔버(122)와 함께 수행되는 일부 예들에서, 세정 프로세스는 가스 유입구(226)로부터 삼플루오린화질소(NF3)와 헬륨(He)의 혼합물을 유동시키는 단계, 및 가스 유입구(225)로부터 암모니아(NH3)를 유동시키는 단계를 포함한다. 삼플루오린화질소(NF3)와 헬륨(He)의 혼합물은 1:350 (NF3:He) 내지 1:120 (NF3:He) 범위의 비율일 수 있고, 혼합물은 가스 유입구(226)로부터 5000 sccm 내지 7000 sccm 범위의 유량, 예컨대, 삼플루오린화 질소(NF3)의 유량은 10 sccm 내지 25 sccm 범위이고 헬륨(He)의 유량은 약 3000 sccm 내지 3500 sccm 범위인 유량으로 유동될 수 있다. 챔버(122)에서의 압력은 0.25 Torr 내지 약 2 Torr 범위로 유지될 수 있다. RF 전원(224)에 의해 인가되는 전력은 약 10 MHz 내지 약 20 MHz 범위(예를 들어, 13.56 MHz)의 주파수로 약 10 W 내지 약 50 W 범위에 있을 수 있다.
처리 챔버(122)에서 세정 프로세스가 수행된 후에, 블록(512)에서, 기판(2)은 처리 시스템(100)의 제2 처리 챔버, 예를 들어, 처리 챔버(120)로 이송된다. 예를 들어, 기판(2)은 이송 로봇(110)에 의해 포트(164)를 통해 처리 챔버(122)로부터 포트(162)를 통해 처리 챔버(120)로 이송된다. 블록(514)에서, 선택적 식각 프로세스가 처리 챔버(120)에서 기판(2)에 대해 수행된다. 도 10a 및 10b는 일부 예들에 따른 선택적 식각 프로세스 이후의 중간 구조의 단면도들을 예시한다. 핀들(10)의 초격자의 교번하는 층들(6, 8) 중 층들(6)이 선택적 식각 프로세스(예를 들어, 등방성 식각 프로세스)에 의해 제거된다. 처리 챔버(120)는, 선택적 식각 프로세스를 수행할 수 있는 셀렉트라® 식각 시스템일 수 있다. 일부 예들에서, 도 3에 예시된 처리 챔버(120)로 수행될 때 규소 게르마늄이 선택적으로 식각된다.
선택적 식각 프로세스가 처리 챔버(120)에서 수행된 후에, 블록(516)에서, 트리밍 프로세스가 처리 챔버(120)에서 기판(2)에 대해 수행된다. 도 11a 및 11b는 일부 예들에 따른 트리밍 프로세스 이후의 중간 구조의 단면도들을 예시한다. 트리밍된 층들(8')을 형성하기 위해 핀들(10)의 초격자의 층들(8)이 트리밍 프로세스에 의해 트리밍된다. 트리밍된 층들(8')을 형성하기 위해 약 2 nm 내지 약 3 nm 범위의 등각 두께가 층들(8)로부터 트리밍될 수 있다. 선택적 식각 프로세스와 유사하게, 트리밍 프로세스는 셀렉트라® 식각 시스템에 의한 것일 수 있다.
규소를 트리밍하기 위해 도 3에 예시된 처리 챔버(120)로 수행되는 일부 예들에서, 트리밍 프로세스는, 삼플루오린화질소(NF3), 삼플루오린화질소(NF3)와 헬륨(He)의 혼합물 등 중에서 하나 이상을 포함할 수 있는 제1 식각 가스를 가스 공급원(316)으로부터 유동(318)에 의해 유동시키는 단계, 및 삼플루오린화질소(NF3) 등 중에서 하나 이상을 포함할 수 있는 제2 식각 가스를 가스 공급원(338)으로부터 유동(340)에 의해 유동시키는 단계를 포함한다. 삼플루오린화질소(NF3)와 헬륨(He)의 혼합물은 1:350 (NF3:He) 내지 1:120 (NF3:He) 범위의 비율일 수 있고, 혼합물은 가스 공급원(316)으로부터 5000 sccm 내지 7000 sccm 범위의 유량, 예컨대, 삼플루오린화 질소(NF3)의 유량은 10 sccm 내지 25 sccm 범위이고 헬륨(He)의 유량은 약 3000 sccm 내지 3500 sccm 범위인 유량으로 유동될 수 있다. 챔버(120)에서의 압력은 0.25 Torr 내지 약 2 Torr 범위로 유지될 수 있다. RF 전원(320)에 의해 인가되는 전력은 약 10 MHz 내지 약 50 MHz의(예를 들어, 13.56 MHz의) 주파수로 약 10 W 내지 약 50 W 범위에 있을 수 있다.
처리 챔버(120)에서 트리밍 프로세스가 수행된 후에, 블록(518)에서, 기판(2)은 처리 시스템(100)의 제3 처리 챔버, 예를 들어, 처리 챔버(124, 126, 128, 또는 130)로 이송된다. 예를 들어, 기판(2)은 이송 로봇(110)에 의해 포트(162)를 통해 처리 챔버(120)로부터 포트(158 또는 160)를 통해 유지 챔버(112 또는 114)로 이송된다. 그 다음, 기판(2)은 이송 로봇(118)에 의해 포트(166 또는 168)를 통해 유지 챔버(112 또는 114)로부터 포트(170, 172, 174, 176)를 통해 처리 챔버들(124, 126, 128, 130) 중 하나로 이송된다. 설명의 간결함을 위한 예로서, 기판(2)은 처리 챔버(124)로 이송된다. 블록(520)에서, 에피택셜 성장 프로세스가 처리 챔버(124)에서 기판(2)에 대해 수행된다. 도 12a 및 12b는 일부 예들에 따른 에피택셜 성장 프로세스 이후의 중간 구조의 단면도들을 예시한다. 에피택셜 성장 프로세스는 피복 층들(24)을 기판(2)의 노출된 표면들 및 트리밍된 층들(8') 상에 형성한다. 피복 층들(24)은 기판(2) 및 트리밍된 층들(8')의 노출된 표면들 상에 있고, 이웃하는 에피택셜 소스/드레인 영역들(18) 사이에 연장된다. 피복 층들(24)은 (예를 들어, 압축 응력으로) 응력을 받을 수 있고, 각각의 hGAA FET들의 채널 영역들의 적어도 일부를 형성할 수 있다. 초격자의 층들(8)이 규소인 예들에서, 피복 층들(24)은 규소 게르마늄(Si1-xGex, 여기서 x는 약 0.05 내지 약 0.75 범위에 있음)일 수 있다. 기판(2)을 단일 처리 시스템(100) 내에서 이송하는 것은, 기판(2)을 처리 시스템(100) 외부의 대기 주변 환경(예를 들어, 팹 환경)에 노출시키지 않는 기판(2)의 이송을 허용한다. 기판(2)을 그러한 대기 주변 환경에 노출시키는 것을 회피함으로써, 예컨대, 그러한 대기 주변 환경에 대한 노출로 인해 발생하는 산화가 없음으로, 처리 챔버(120)에서의 처리와 처리 챔버(124)에서의 처리 사이의 세정 프로세스가 회피될 수 있다.
규소 게르마늄을 에피택셜 성장시키기 위해 도 4에 예시된 처리 챔버(400)(예를 들어, 처리 챔버(124, 126, 128, 또는 130))로 수행되는 일부 예들에서, 에피택셜 성장 프로세스는, 규소 함유 전구체, 예컨대, 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10) 등을 유동시키는 단계, 및 게르마늄 함유 전구체, 예컨대, 사염화게르마늄(GeCl4), 클로로게르만(GeH3Cl), 디클로로게르만(GeH2Cl2), 트리클로로게르만(GeHCl3), 헥사클로로디게르만(Ge2Cl6), 옥타클로로트리게르만(Ge3Cl8) 등을 유동시키는 단계를 포함한다. 규소 함유 전구체는 5 sccm 내지 200 sccm 범위의 유량으로 유동될 수 있다. 게르마늄 함유 전구체는 50 sccm 내지 1200 sccm 범위의 유량으로 유동될 수 있다. 처리 챔버(400)에서의 압력은 5 Torr 내지 약 300 Torr 범위로 유지될 수 있다. 에피택셜 성장 프로세스는 약 560 ℃ 내지 약 750 ℃ 범위의 온도로 수행될 수 있다.
예를 들어, 처리 챔버(124)에서의 에피택셜 성장 프로세스 이후에, (예를 들어, 아래에서 설명되는 후속 층들, 예컨대, 게이트 유전체 층, 일함수 조정 층(들), 및/또는 금속 충전물의 증착을 위해) 기판(2)은 이송 로봇(118)에 의해 포트(170)를 통해 처리 챔버(124)로부터 포트(172, 174, 176)를 통해 다른 처리 챔버(126, 128, 130)로 이송될 수 있고/거나 그 다음, 포트(166 또는 168)를 통해 유지 챔버(112 또는 114)로 이송된다. 그 다음, 기판(2)은 이송 로봇(110)에 의해 포트(158 또는 160)를 통해 유지 챔버(112 또는 114)로부터 포트(162, 164)를 통해 다른 처리 챔버(120, 122)로 이송될 수 있고/거나 그 다음, 포트(154 또는 156)를 통해 로드 록 챔버(104 또는 106)로 이송된다. 그 다음, 기판(2)은 팩토리 인터페이스 로봇(142)에 의해 포트(150 또는 152)를 통해 로드 록 챔버(104 또는 106)로부터 FOUP(144)로 이송된다. 그 다음, 기판(2)은 추가의 처리를 겪기 위해 다른 처리 시스템들로 운송될 수 있다.
도 13a 및 13b는 일부 예들에 따른 추가적인 처리 이후의 중간 구조의 단면도들을 예시한다. 방법(500)의 블록(522)에서, 더미 게이트 구조들(14)이 제거된 곳에 그리고 피복 층들(24) 상에 대체 게이트 구조들이 형성된다. 대체 게이트 구조들 각각은 게이트 유전체 층(26), 하나 이상의 일함수 조정 층(28), 및 금속 충전물(30)을 포함한다. 게이트 유전체 층(26)은 (고-k 유전체(예를 들어, 약 3.9 초과의 k 값을 가짐), 예컨대, 하프늄 산화물, 탄탈럼 산화물, 알루미늄 산화물, 지르코늄 산화물 등일 수 있는) 금속 산화물 및/또는 다른 유전체 물질들(예컨대, 질화규소)일 수 있다. 일함수 조정 층(들)(28)은 티타늄 알루미늄, 티타늄 질화물, 티타늄 알루미늄 탄화물, 탄탈럼 알루미늄, 탄탈럼 질화물, 탄탈럼 알루미늄 탄화물, 텅스텐, 또는 코발트일 수 있거나 이를 포함할 수 있다. 금속 충전물(30)은 코발트, 텅스텐, 알루미늄, 티타늄 알루미늄 등일 수 있거나 이를 포함할 수 있다. 게이트 유전체 층(26)은 피복 층들(24)의 표면들 상에 그리고 피복 층들 주위에, 게이트 스페이서들(16)의 측벽들 상에, 에피택셜 소스/드레인 영역들(18)의 노출된 표면들 상에, 그리고 격리 구조들(12)의 최상부 표면들 상에 등각으로 증착된다. 일함수 조정 층(들)(28)은 게이트 유전체 층(26) 상에 그리고 추가로 피복 층들(24) 주위에 등각으로 증착된다. 게이트 유전체 층(26) 및 일함수 조정 층(들)(28)은 ALD, PECVD, 분자 빔 증착(MBD) 등, 또는 이들의 조합에 의해 증착될 수 있다. 게이트 유전체 층(26) 및 일함수 조정 층(들)(28)은 처리 시스템(100)의 처리 챔버들, 예컨대, 처리 챔버들(124, 126, 128, 130), 또는 다른 처리 시스템의 처리 챔버들에서 증착될 수 있다. 금속 충전물(30)은 일함수 조정 층(들)(28) 상에, 그리고 일부 경우들에서, 피복 층들(24) 주위에 증착된다. 금속 충전물(30)은 ALD, CVD, PVD 등, 또는 이들의 조합에 의해 증착될 수 있다. 금속 충전물(30)은 처리 시스템(100)의 처리 챔버들, 예컨대, 처리 챔버들(124, 126, 128, 130), 또는 다른 처리 시스템의 처리 챔버들에서 증착될 수 있다. 도 13a 및 13b에 예시된 바와 같이 대체 게이트 구조들을 형성하기 위해, (예를 들어, ILD0(22)의 최상부 표면 상의) 임의의 과잉 금속 충전물(30), 일함수 조정 층(들)(28), 및 게이트 유전체 층(26)은 평탄화 프로세스, 예컨대, CMP에 의해 제거될 수 있다.
층간 유전체(ILD1)(32)가 ILD0(22) 및 대체 게이트 구조들 상에 형성된다. ILD1(32)은, ILD0(22)에 대해서 위에서 설명된 바와 같이, 동일한 물질들일 수 있거나 이를 포함할 수 있고, 동일한 프로세스들을 사용하여 증착될 수 있다. 접촉부들(34)이 각각의 에피택셜 소스/드레인 영역들(18)에 대해 ILD1(32), ILD0(22), 및 CESL(20)을 통해 형성된다. 접촉부들(34)은 각각의 에피택셜 소스/드레인 영역들(18) 상의 실리사이드, (예를 들어, ILD1(32), ILD0(22), 및 CESL(20)의) 유전체 측벽들을 따른 접착 또는 점착 층, 유전체 측벽들을 따른 장벽 층, 및/또는 금속 충전물을 포함할 수 있다. 접촉부들(34)을 형성하는 데에 사용될 수 있는 많은 상이한 기법들은 관련 기술분야의 통상의 기술자에게 쉽게 명백할 것이다. 일반적으로, 접촉 개구부들이 ILD1(32), ILD0(22), 및 CESL(20)을 통해 에피택셜 소스/드레인 영역들(18)까지 식각되고, 접촉부들(34)이 접촉 개구부들에 형성된다.
본원에 설명된 예들에서, 초격자의 층들(6)을 제거하고, 초격자의 남은 층들(8)을 트리밍하고, 트리밍된 층들(8') 상에 피복 층들(24)을 에피택셜 성장시키기 위한 처리는 단일 처리 시스템(100)에서 수행된다. 이러한 처리를 단일 처리 시스템(100)에서 수행하는 것은, 기판(2)을 처리 시스템(100) 외부의 대기 주변 환경(예를 들어, 팹 환경)에 노출시키지 않고 상이한 처리를 위해 상이한 챔버들 간에 기판(2)을 이송하는 것을 허용한다. 기판을 그러한 대기 주변 환경에 노출시키는 것을 회피함으로써, 예컨대, 그러한 대기 주변 환경에 대한 노출로 인해 발생하는 산화가 없음으로, 상이한 처리 사이의 세정 프로세스들이 회피될 수 있다. 이러한 세정 프로세스들을 회피하는 것은 처리의 비용을 감소시킬 수 있고, Q-시간을 감소시킬 수 있다. 이에 따라, 본원에 설명된 예들은, 초격자의 층들(6)을 제거하고, 초격자의 남은 층들(8)을 트리밍하고, 트리밍된 층들(8') 상에 피복 층들(24)을 에피택셜 성장시키기 위한 처리에 통합 해법을 제공한다.
전술한 내용은 본 개시내용의 다양한 예들에 관한 것이지만, 다른 및 추가적인 예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 반도체 처리를 위한 방법으로서,
    제1 물질을 처리 시스템의 제1 처리 챔버에서 선택적으로 식각하는 단계 ― 상기 제1 물질은 기판의 채널 영역에 있는, 상기 제1 물질 및 제2 물질의 교번하는 층들 내에 배치됨 ―;
    상기 제2 물질의 일부를 상기 처리 시스템의 상기 제1 처리 챔버에서 트리밍하는 단계;
    상기 기판을 상기 처리 시스템 외부의 주변 환경에 노출시키지 않고 상기 기판을 상기 처리 시스템의 상기 제1 처리 챔버로부터 상기 처리 시스템의 제2 처리 챔버로 이송하는 단계; 및
    상기 처리 시스템의 상기 제2 처리 챔버에서, 상기 트리밍된 제2 물질의 각각의 층들 상에 피복 층을 에피택셜 성장시키는 단계를 포함하는, 반도체 처리를 위한 방법.
  2. 제1항에 있어서,
    상기 제1 물질 및 상기 제2 물질의 교번하는 층들을 상기 처리 시스템의 제3 처리 챔버에서 세정하는 단계 ― 상기 교번하는 층들을 세정하는 단계는 상기 제1 물질을 상기 제1 처리 챔버에서 선택적으로 식각하기 전에 상기 교번하는 층들 중 하나 이상으로부터 산화물을 제거하는 것을 포함함 ―; 및
    상기 기판을 상기 처리 시스템 외부의 주변 환경에 노출시키지 않고 상기 기판을 상기 처리 시스템의 상기 제3 처리 챔버로부터 상기 처리 시스템의 상기 제1 처리 챔버로 이송하는 단계를 더 포함하는, 반도체 처리를 위한 방법.
  3. 제1항에 있어서,
    상기 제2 물질을 트리밍한 이후 그리고 상기 피복 층을 에피택셜 성장시키기 이전에 세정 프로세스가 수행되지 않는, 반도체 처리를 위한 방법.
  4. 제1항에 있어서,
    상기 기판은, 300 Torr 이하의 압력을 갖는 이송 환경에서 상기 이송 동안에 상기 이송 환경을 제거하지 않고 상기 처리 시스템의 상기 제1 처리 챔버로부터 상기 처리 시스템의 상기 제2 처리 챔버로 이송되는, 반도체 처리를 위한 방법.
  5. 제1항에 있어서,
    상기 처리 시스템은 하나 이상의 이송 챔버를 포함하는 이송 장치를 포함하고, 상기 제1 처리 챔버 및 상기 제2 처리 챔버는 상기 이송 장치에 결합되고, 상기 기판은 상기 이송 장치로 상기 처리 시스템의 상기 제1 처리 챔버로부터 상기 처리 시스템의 상기 제2 처리 챔버로 이송되는, 반도체 처리를 위한 방법.
  6. 제1항에 있어서,
    상기 교번하는 층들은 상기 제1 물질이 선택적으로 식각되기 전에 상기 기판 상에 핀의 적어도 일부를 형성하는, 반도체 처리를 위한 방법.
  7. 제1항에 있어서,
    상기 제1 물질은 규소 게르마늄이고, 상기 제2 물질은 규소이고, 상기 피복 층은 규소 게르마늄인, 반도체 처리를 위한 방법.
  8. 제1항에 있어서,
    상기 피복 층 상에 게이트 구조를 형성하는 단계를 더 포함하는, 반도체 처리를 위한 방법.
  9. 반도체 처리 시스템으로서,
    이송 장치;
    상기 이송 장치에 결합된 제1 처리 챔버;
    상기 이송 장치에 결합된 제2 처리 챔버; 및
    시스템 제어기를 포함하고,
    상기 시스템 제어기는,
    상기 제1 처리 챔버에서 수행되는 선택적 식각 프로세스를 제어하도록 ― 상기 선택적 식각 프로세스는 기판 상의 채널 영역에 있는, 제1 물질 및 제2 물질의 교번하는 층들 내에 배치된 상기 제1 물질을 선택적으로 제거함 ―;
    상기 제1 처리 챔버에서 수행되는 트리밍 프로세스를 제어하도록 ― 상기 트리밍 프로세스는 상기 제2 물질의 일부를 트리밍함 ―;
    상기 제1 처리 챔버로부터 상기 이송 장치를 통한 상기 제2 처리 챔버로의 상기 기판의 이송을 제어하도록; 그리고
    상기 제2 처리 챔버에서 수행되는 에피택셜 성장 프로세스를 제어하도록 구성되고, 상기 에피택셜 성장 프로세스는, 상기 트리밍된 제2 물질의 각각의 층들 상에 피복 층을 증착시키는, 반도체 처리 시스템.
  10. 제9항에 있어서,
    제3 처리 챔버를 더 포함하고,
    상기 시스템 제어기는:
    상기 제3 처리 챔버에서 수행되는 세정 프로세스를 제어하도록 ― 상기 세정 프로세스는 상기 기판을 세정함 ―; 그리고
    상기 제3 처리 챔버로부터 상기 이송 장치를 통한 상기 제1 처리 챔버로의 상기 기판의 이송을 제어하도록 구성되는, 반도체 처리 시스템.
  11. 제9항에 있어서,
    상기 시스템 제어기는 상기 제1 처리 챔버로부터 상기 제2 처리 챔버로의 상기 기판의 이송 동안 상기 이송 장치 외부의 대기 주변 환경이 상기 이송 장치에 진입하는 것을 방지하도록 구성되는, 반도체 처리 시스템.
  12. 제9항에 있어서,
    상기 이송 장치는:
    상기 제1 처리 챔버에 결합된 제1 이송 챔버;
    상기 제1 이송 챔버에 결합된 유지 챔버; 및
    상기 유지 챔버 및 상기 제2 처리 챔버에 결합된 제2 이송 챔버를 포함하고, 상기 기판은 상기 제1 처리 챔버로부터 상기 제2 처리 챔버로 이송될 때 상기 제1 이송 챔버, 상기 유지 챔버, 및 상기 제2 이송 챔버를 통해 이송되는, 반도체 처리 시스템.
  13. 제9항에 있어서,
    상기 이송 장치에 결합된 로드 록 챔버; 및
    상기 로드 록 챔버에 결합된 팩토리 인터페이스를 더 포함하고, 상기 기판은 상기 팩토리 인터페이스로부터 상기 로드 록 챔버를 통해 상기 이송 장치로 이송되는, 반도체 처리 시스템.
  14. 반도체 처리 시스템으로서,
    프로세서에 의해 실행될 때 컴퓨터 시스템으로 하여금:
    처리 시스템의 제1 처리 챔버에서의 선택적 식각 프로세스를 제어하고 ― 상기 선택적 식각 프로세스는 기판 상의 채널 영역에 있는, 제1 물질 및 제2 물질의 교번하는 층들 내에 배치된 상기 제1 물질을 선택적으로 제거함 ―;
    상기 제1 처리 챔버에서의 트리밍 프로세스를 제어하고 ― 상기 트리밍 프로세스는 상기 제2 물질의 일부를 트리밍함 ―;
    상기 처리 시스템의 상기 제1 처리 챔버로부터 상기 처리 시스템의 이송 장치를 통한 제2 처리 챔버로의 상기 기판의 이송을 제어하고 ― 상기 제1 처리 챔버 및 상기 제2 처리 챔버는 상기 이송 장치에 결합됨 ―;
    상기 제2 처리 챔버에서의 에피택셜 성장 프로세스를 제어하는 ― 상기 에피택셜 성장 프로세스는, 상기 트리밍된 제2 물질의 각각의 층들 상에 피복 층을 증착시킴 ― 작동들을 수행하게 하는 명령어들을 저장하는 비일시적 컴퓨터 판독가능 매체를 포함하는, 반도체 처리 시스템.
  15. 제14항에 있어서,
    상기 제1 처리 챔버로부터 상기 제2 처리 챔버로의 상기 기판의 이송을 제어하는 작동은 상기 기판을 상기 처리 시스템 외부의 주변 환경에 노출시키지 않고 수행되는, 반도체 처리 시스템.
KR1020190142504A 2018-11-13 2019-11-08 통합 반도체 처리 KR102293601B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862760553P 2018-11-13 2018-11-13
US62/760,553 2018-11-13

Publications (2)

Publication Number Publication Date
KR20200055663A true KR20200055663A (ko) 2020-05-21
KR102293601B1 KR102293601B1 (ko) 2021-08-25

Family

ID=70550763

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190142504A KR102293601B1 (ko) 2018-11-13 2019-11-08 통합 반도체 처리

Country Status (4)

Country Link
US (1) US10861722B2 (ko)
KR (1) KR102293601B1 (ko)
CN (1) CN111180359A (ko)
TW (1) TWI814938B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508828B2 (en) * 2020-07-06 2022-11-22 Applied Materials, Inc. Selective silicon etch for gate all around transistors
US20220123123A1 (en) * 2020-10-20 2022-04-21 Applied Materials, Inc. Formation of gate all around device
US20230029344A1 (en) * 2021-07-23 2023-01-26 Applied Materials, Inc. Methods of formation of a sige/si superlattice
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers
KR20240008262A (ko) * 2022-07-11 2024-01-18 어플라이드 머티어리얼스, 인코포레이티드 GAA CMOS 기술을 위한 스트레인 SiGe 채널 PMOS의 통합
KR20240015016A (ko) * 2022-07-26 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스들을 형성하기 위한 프로세싱 방법들및 클러스터 툴들

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
US20170194430A1 (en) * 2016-01-05 2017-07-06 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
KR20170139781A (ko) * 2016-06-10 2017-12-20 삼성전자주식회사 반도체 장치 제조 방법
WO2018071143A2 (en) * 2016-10-10 2018-04-19 Monolithic 3D Inc. 3d semiconductor device and structure

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134090A (en) * 1982-06-18 1992-07-28 At&T Bell Laboratories Method of fabricating patterned epitaxial silicon films utilizing molecular beam epitaxy
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
JP4166885B2 (ja) * 1998-05-18 2008-10-15 富士通株式会社 光半導体装置およびその製造方法
JP2002246310A (ja) * 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
EP1781425A2 (en) * 2004-07-09 2007-05-09 Akrion Llc Reduced pressure irradiation processing method and apparatus
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070163498A1 (en) * 2006-01-13 2007-07-19 Randall Clark Gas dispersion shield and method
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP5058909B2 (ja) * 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
US9054206B2 (en) * 2007-08-17 2015-06-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP5395415B2 (ja) * 2007-12-03 2014-01-22 株式会社半導体エネルギー研究所 薄膜トランジスタの作製方法
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
JP5586314B2 (ja) * 2010-04-23 2014-09-10 芝浦メカトロニクス株式会社 半導体装置の製造装置及び半導体装置の製造方法
DE102011005639B4 (de) * 2011-03-16 2016-05-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Reduzieren der Defektrate während des Abscheidens einer Kanalhalbleiterlegierung in ein in-situ-abgesenktes aktives Gebiet
WO2013055586A1 (en) * 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US8853051B2 (en) * 2012-04-12 2014-10-07 Globalfoundries Inc. Methods of recessing an active region and STI structures in a common etch process
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093465B2 (en) * 2013-12-11 2015-07-28 United Microelectronics Corp. Method of fabricating semiconductor device
US9368378B2 (en) * 2013-12-31 2016-06-14 Sophia Wen Semiconductor wafer cleaning system
JP6132791B2 (ja) * 2014-03-12 2017-05-24 東京エレクトロン株式会社 半導体デバイスの製造方法及び製造装置
US9966471B2 (en) * 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) * 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
CN110249417B (zh) * 2017-02-10 2023-10-24 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
CN111033680A (zh) * 2017-08-30 2020-04-17 应用材料公司 集成式外延系统高温污染物去除
US10748901B2 (en) * 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
US11011426B2 (en) * 2018-11-21 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10985259B2 (en) * 2018-12-07 2021-04-20 Gan Systems Inc. GaN HEMT device structure and method of fabrication

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
US20170194430A1 (en) * 2016-01-05 2017-07-06 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
KR20170139781A (ko) * 2016-06-10 2017-12-20 삼성전자주식회사 반도체 장치 제조 방법
WO2018071143A2 (en) * 2016-10-10 2018-04-19 Monolithic 3D Inc. 3d semiconductor device and structure

Also Published As

Publication number Publication date
TWI814938B (zh) 2023-09-11
CN111180359A (zh) 2020-05-19
US20200152493A1 (en) 2020-05-14
US10861722B2 (en) 2020-12-08
KR102293601B1 (ko) 2021-08-25
TW202107561A (zh) 2021-02-16

Similar Documents

Publication Publication Date Title
KR102293601B1 (ko) 통합 반도체 처리
US11282936B2 (en) Horizontal gate all around device nanowire air gap spacer formation
US9419107B2 (en) Method for fabricating vertically stacked nanowires for semiconductor applications
KR102316186B1 (ko) 격리 구조를 위한 스케일링된 라이너 층
US20090065816A1 (en) Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
US10128337B2 (en) Methods for forming fin structures with desired profile for 3D structure semiconductor applications
US11114306B2 (en) Methods for depositing dielectric material
TW202119605A (zh) 用於記憶體應用的垂直電晶體製造
US20220302282A1 (en) FINFET Device and Method of Forming Same
US20240014076A1 (en) Selective capping of contact layer for cmos devices
US20240136229A1 (en) Channel uniformity horizontal gate all around device
US20230377997A1 (en) Contact formation process for cmos devices
US20240018647A1 (en) Oxidation barriers with cvd soak processes
US20240014075A1 (en) Contact formation process for cmos devices
US20240088222A1 (en) Uniform epitaxial growth over crystalline template
US20240145550A1 (en) Carbon-containing cap layer for doped semiconductor epitaxial layer
US20210280451A1 (en) Low temperature steam free oxide gapfill
WO2024085972A1 (en) Improved channel uniformity horizontal gate all around device
TW202412140A (zh) 晶質模板上的均勻磊晶生長

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant