TWI814938B - 整合半導體處理 - Google Patents

整合半導體處理 Download PDF

Info

Publication number
TWI814938B
TWI814938B TW108140366A TW108140366A TWI814938B TW I814938 B TWI814938 B TW I814938B TW 108140366 A TW108140366 A TW 108140366A TW 108140366 A TW108140366 A TW 108140366A TW I814938 B TWI814938 B TW I814938B
Authority
TW
Taiwan
Prior art keywords
processing chamber
chamber
processing
substrate
processing system
Prior art date
Application number
TW108140366A
Other languages
English (en)
Other versions
TW202107561A (zh
Inventor
班傑明 哥倫布
龔聖欽
派翠西亞M 劉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202107561A publication Critical patent/TW202107561A/zh
Application granted granted Critical
Publication of TWI814938B publication Critical patent/TWI814938B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

一般而言,本文描述的實施例涉及用於在形成為超晶格的一部分的修整的層上形成包覆層的整合解決方案。在一個實施例中,在處理系統的第一處理腔室中選擇性地蝕刻第一材料。第一材料設置在處於基板上的溝道區域中的第一材料和第二材料的交替層內。在處理系統的第一處理腔室中修整第二材料的一部分。將基板從處理系統的第一處理腔室傳送到處理系統的第二處理腔室,而不將基板暴露於在處理系統外部的周邊環境。在處理系統的第二處理腔室中在所修整的第二材料的相應層上磊晶地生長包覆層。

Description

整合半導體處理
本文描述的實施例大體涉及半導體處理領域,並且更具體地,涉及整合半導體處理解決方案。
可靠地產生奈米和更小的特徵是超大規模整合(very large scale integration; VLSI)和特大規模整合(ultra large-scale integration; ULSI)的下一代半導體裝置的關鍵技術挑戰之一。隨著電路技術的極限不斷推進,收縮尺寸的VLSI和ULSI技術對處理能力有附加需求。隨著積體電路部件的尺寸的減小(例如,以奈米尺寸),通常仔細地選擇用於製造部件的材料和製程,以便獲得令人滿意的電效能水準。
由於現今VLSI和ULSI結構的複雜性,必須在多個不同的處理腔室中處理其上形成這些裝置的基板,這些處理腔室一般被配置為執行圖案化步驟、沉積步驟、蝕刻步驟或熱處理步驟中的至少一個。由於製程化學物質之間的不相容性、腔室產量的差異、或處理技術,在半導體製造行業中,設備製造商通常只將某些類型的處理技術(例如,沉積腔室)放置在一個處理系統中和將另一種處理技術(例如,蝕刻腔室)放置在另一個處理系統中。出現在習用半導體設備中的處理技術的劃分要求將基板從一個處理系統傳送到另一個處理系統,使得可以在基板上執行各種不同的半導體製造製程。在各個處理系統之間執行的傳送製程使基板暴露於各種形式的污染物和顆粒。
因此,需要的是一種能夠形成複雜裝置並避免現今影響半導體處理的常見的污染和顆粒源的製程和處理設備。
本揭示內容的實施方式包括一種用於半導體處理的方法。在處理系統的第一處理腔室中選擇性地蝕刻第一材料。第一材料設置在處於基板上的溝道區域中的第一材料和第二材料的交替層內。在處理系統的第一處理腔室中修整第二材料的一部分。將基板從處理系統的第一處理腔室傳送到處理系統的第二處理腔室,而不將基板暴露於在處理系統外部的周邊環境。在處理系統的第二處理腔室中在所修整的第二材料的相應層上磊晶地生長包覆層。
本揭示內容的實施例還包括一種半導體處理系統。半導體處理系統包括:傳送設備;第一處理腔室,耦接至傳送設備;第二處理腔室,耦接至傳送設備;和系統控制器。系統控制器被配置為控制在第一處理腔室中執行的選擇性蝕刻製程。選擇性蝕刻製程選擇性地去除設置在基板上的溝道區域內的第一材料和第二材料的交替層中的第一材料。系統控制器被配置為控制在第一處理腔室中執行的修整製程。修整製程修整第二材料的一部分。系統控制器被配置為控制基板藉由傳送設備從第一處理腔室向第二處理腔室的傳送步驟。系統控制器被配置為控制在第二處理腔室中執行的磊晶生長製程。磊晶生長製程在所修整的第二材料的相應層上沉積包覆層。
本揭示內容的實施方式進一步包括一種半導體處理系統,半導體處理系統包括非暫時性電腦可讀媒體,非暫時性電腦可讀媒體存儲指令,指令在由處理器執行時致使電腦系統執行操作。操作包括控制在處理系統的第一處理腔室中的選擇性蝕刻製程。選擇性蝕刻製程選擇性地去除設置在基板上的溝道區域內的第一材料和第二材料的交替層中的第一材料。操作包括控制在第一處理腔室中的修整製程。修整製程修整第二材料的一部分。操作包括控制基板藉由處理系統的傳送設備從處理系統的第一處理腔室向第二處理腔室的傳送步驟。第一處理腔室和第二處理腔室耦接至傳送設備。操作包括控制在第二處理腔室中的磊晶生長製程。磊晶生長製程在所修整的第二材料的相應層上沉積包覆層。
一般而言,本文描述的實施例涉及用於在形成為超晶格(superlattice)的一部分的修整的層上形成包覆層的方法和半導體處理系統。由這種處理形成的結構可以在例如水平閘極全捲繞場效應電晶體(horizontal gate all around field effect transistors, hGAA FET)中實現。方法和半導體處理系統能夠提供整合解決方案以修整要修整的層,並且隨後在修整的層上磊晶地生長包覆層。
在半導體處理中,裝置(諸如FET)的尺寸持續減小。尺寸的任何損失或變化都會隨尺寸的減小而具有增大的影響。尺寸的損失和變化可能因可在半導體結構上執行的清潔製程而出現。例如,可以在大氣周邊環境(例如,在製造設施(「製造設施(fabrication facility, fab)」)中的環境)中在不同處理系統(例如,在前開式晶圓盒(front opening unified pod, FOUP)中)之間傳送其上形成結構的基板之後執行清潔製程。在這種運輸期間,可能因暴露於大氣周邊環境而在結構上形成氧化物,並且可以執行清潔製程以去除形成的氧化物。然而,氧化物的去除可能導致基板上的結構的一些尺寸的損失和變化。
本文提供的一些實施例提供一種處理系統,其中可以將基板從修整層的第一處理腔室傳送到磊晶地生長包覆層的第二處理腔室,而不將基板暴露於大氣周邊環境。例如,基板可以在維持其中傳送基板的低壓或真空環境的傳送設備(包括一或多個傳送腔室)中傳送。藉由提供這種整合解決方案,能夠避免將基板暴露於大氣周邊環境,這能夠進一步避免對在第一處理腔室中執行的修整製程與在第二處理腔室中執行的磊晶生長製程之間執行清潔製程的需要。避免這種清潔能夠減少整體處理並能夠減少Q時間。另外,根據這種處理形成有包覆層作為溝道區域的FET(例如,hGAA FET)能夠具有改善的閾值電壓、改善的驅動電流和改善的可靠性。
下面描述各種不同的實施例。儘管不同實施例的多個特徵可以在製程流程或系統中一起描述,但是多個特徵可以各自分開地或單獨地和/或在不同的製程流程或不同的系統中實現。另外,各種處理流程被描述為按循序執行;其他實施例可以以不同順序和/或以更多或更少的操作來實現製程流程。
圖1是根據本揭示內容的一些實施例的多腔室處理系統100的實例的示意性俯視圖。處理系統100一般包括工廠介面102、裝載閘腔室104、106、帶有相應傳送機械手110、118的傳送腔室108、116、保持腔室112、114和處理腔室120、122、124、126、128、130。如本文詳細地描述的,處理系統100中的基板可以在各個腔室中進行處理並在各個腔室之間進行傳送,而不將基板暴露於在處理系統100外部的周邊環境(例如,如可能存在於製造設施中的大氣周邊環境)。例如,可以在低壓(例如,小於或等於約300 Torr)或真空環境中在各個腔室中處理基板並在各個腔室之間進行傳送,而不破壞在處理系統100中在基板上執行的各個製程之間的低壓或真空環境。因此,處理系統100可以提供用於基板的一些處理的整合解決方案。
可根據本文提供的教導適當地修改的處理系統的實例包括Endura® 、Producer® 或Centura® 整合處理系統或可從位於加利福尼亞州(California)聖克拉拉(Santa Clara)的應用材料公司(Applied Materials, Inc.)商購的其他合適的處理系統。可以設想,其他處理系統(包括來自其他製造商的處理系統)可以適於從本文描述的方面中受益。
在圖1所示的實例中,工廠介面102包括塢站(docking station)140和工廠介面機械手142,以促進基板的傳送。塢站140被配置為接收一或多個前開式晶圓盒(FOUP)144。在一些實例中,每個工廠介面機械手142一般包括設置在相應工廠介面機械手142的一端上的葉片(blade)148,此葉片被配置為將基板從工廠介面102傳送到裝載閘腔室104、106。
裝載閘腔室104、106具有耦接至工廠介面102的相應埠150、152和耦接至傳送腔室108的相應埠154、156。傳送腔室108還具有耦接至保持腔室112、114的相應埠158、160和耦接至處理腔室120、122的相應埠162、164。類似地,傳送腔室116具有耦接至保持腔室112、114的相應埠166、168和耦接至處理腔室124、126、128、130的相應埠170、172、174、176。埠154、156、158、160、162、164、166、168、170、172、174、176例如可以是帶有狹縫閥的狹縫閥開口,用於藉由傳送機械手110、118使基板從中通過並用於在相應腔室之間提供密封以防止氣體從相應腔室之間通過。一般而言,任何埠都是敞開的,用於傳送基板從中通過;否則,埠是封閉的。
裝載閘腔室104、106、傳送腔室108、116、保持腔室112、114、以及處理腔室120、122、124、126、128、130可以流體地耦接至氣體和壓力控制系統(未具體地示出)。氣體和壓力控制系統可包括一或多個氣泵(例如,渦輪泵、低溫泵(cryo-pump)、粗抽泵(roughing pump)等)、氣源、各種閥、以及流體地耦接至各個腔室的導管。在操作中,工廠介面機械手142將來自FOUP 144中的基板通過埠150或152傳送到裝載閘腔室104或106。接著,氣體和壓力控制系統將裝載閘腔室104或106抽空。氣體和壓力控制系統進一步維持傳送腔室108、116和保持腔室112、114的內部低壓或真空環境(其可包括惰性氣體)。因此,裝載閘腔室104或106的抽空促進基板在例如工廠介面102的大氣環境與傳送腔室108的低壓或真空環境之間傳遞。
在基板處於已經被抽空的裝載閘腔室104或106中的情況下,傳送機械手110通過埠154或156將基板從裝載閘腔室104或106傳送到傳送腔室108中。接著,傳送機械手110能夠通過相應埠162、164將基板傳送到處理腔室120、122中的任一個和/或在處理腔室之間進行傳送以用於進行處理並通過相應埠158、160將基板傳送到保持腔室112、114以用於進行保持以等待進一步傳送。類似地,傳送機械手118能夠通過埠166或168接取保持腔室112或114中的基板,並且能夠通過相應埠170、172、174、176將基板傳送到處理腔室124、126、128、130中的任一個和/或在處理腔室之間進行傳送以用於進行處理並能夠通過相應埠166、168將基板傳送到保持腔室112、114用於進行保持以等待進一步傳送。基板在各個腔室內和各個腔室間的傳送和保持可以在由氣體和壓力控制系統提供的低壓或真空環境中進行。
處理腔室120、122、124、126、128、130可以是用於處理基板的任何合適的腔室。在一些實例中,處理腔室122能夠執行清潔製程;處理腔室120能夠執行蝕刻製程;並且處理腔室124、126、128、130能夠執行相應的磊晶生長製程。處理腔室122可以是可從加利福尼亞州聖克拉拉的應用材料公司獲得的SiCoNi™預清潔腔室。處理腔室120可以是從加利福尼亞州聖克拉拉的應用材料公司獲得的Selectra™蝕刻腔室。
系統控制器190耦接至處理系統100,以控制處理系統100或其部件。例如,系統控制器190可以使用對處理系統100的腔室104、106、108、112、114、116、120、122、124、126、128、130的直接控制或藉由控制與腔室104、106、108、112、114、116、120、122、124、126、128、130關聯的控制器來控制處理系統100的操作。在操作中,系統控制器190使得資料能夠從相應腔室收集和回饋,以協調處理系統100的效能。
系統控制器190一般包括中央處理單元(CPU)192、記憶體194和支援電路196。CPU 192可以是可在工業環境中使用的任何形式的通用處理器中的一種。記憶體194或非暫時性電腦可讀媒體可由CPU 192訪問,並且可以是諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位存儲裝置(無論本地還是遠端)之類的記憶體中的一或多者。支援電路196耦接至CPU 192,並且可包括快取記憶體(cache)、時鐘電路、輸入/輸出子系統、電源和類似者。本文揭示的各種方法一般可以藉由CPU 192執行存儲在記憶體194(或特定處理腔室的記憶體)中的例如作為軟體程式的電腦指令代碼來在CPU 192的控制下實現。當由CPU 192執行電腦指令代碼時,CPU 192控制腔室以根據各種方法來執行製程。
其他處理系統可以採用其他配置。例如,更多或更少的處理腔室可以耦接至傳送設備。在所示的實例中,傳送設備包括傳送腔室108、116和保持腔室112、114。在其他實例中,更多或更少的傳送腔室(例如,一個傳送腔室)和/或更多或更少的保持腔室(例如,沒有保持腔室)可以被實現為處理系統中的傳送設備。
圖2是可用於執行清潔製程的處理腔室122的剖視圖。處理腔室122可以是可從加利福尼亞州聖克拉拉的應用材料公司獲得的SiCoNi® 預清潔腔室。處理腔室122包括腔室主體212、蓋組件214和支撐組件216。蓋組件214設置在腔室主體212的上端處,並且支撐組件216至少部分地設置在腔室主體212內。腔室主體212、蓋組件214和支撐組件216一起限定可在其中處理基板的區域。
蓋組件214包括至少兩個堆疊部件,至少兩個堆疊部件被配置為在所述兩個堆疊部件之間形成電漿區域。第一電極220垂直地設置在第二電極222上方,以在兩個電極之間限制電漿容積。第一電極220連接至射頻(RF)功率源224,並且第二電極222連接至接地,這在第一電極220與第二電極222之間形成電容。
蓋組件214還包括一或多個氣體入口226,用於經由阻隔板(blocker plate)228和氣體分配板230(諸如噴頭)向基板表面提供清潔氣體。清潔氣體可以是蝕刻劑、離子化氣體或活性自由基,諸如離子化氟、氯或氨。在其他實例中,可以利用不同的清潔製程來清潔基板表面。例如,包含He和NF3 的遠端電漿可以經由氣體分配板230引入處理腔室122中,而NH3 可以經由設置在腔室主體212的側面處的單獨的氣體入口225直接地佈植處理腔室122中。
支撐組件216可包括基板支撐件232,以在處理期間在其上支撐基板210。基板支撐件232具有平坦的基板支撐表面,用於在其上支撐待處理的基板。基板支撐件232可以藉由軸236耦接至致動器234,軸236延伸穿過形成在腔室主體212的底部中的居中地定位的開口。致動器234可以藉由波紋管(未示出)柔性地密封至腔室主體212,從而防止真空從軸236周圍洩漏。致動器234允許基板支撐件232在腔室主體212內在處理位置和下部傳送位置之間垂直地移動。傳送位置在形成在腔室主體212的側壁中的狹縫閥開口的開口的稍下方。在操作中,基板支撐件232可以升高到緊鄰蓋組件214的位置,以控制被處理的基板210的溫度。因此,基板210可以經由來自氣體分配板230的發出輻射或對流來加熱。
偏壓RF電源280可以經由匹配網路284耦接至基板支撐件232。偏壓RF電源280向基板210提供偏壓以將離子化清潔氣體引向基板210。
可作為處理系統100的氣體和壓力控制系統的一部分的真空系統可以用於從處理腔室122去除氣體。真空系統包括真空泵218,真空泵218耦接至設置在腔室主體212中的真空埠221。處理腔室122還包括控制器(未示出),所述控制器可以是系統控制器190或由系統控制器190控制的控制器,用於控制處理腔室122內的製程。
圖3是可用於執行選擇性蝕刻製程和修整製程的處理腔室120的剖視圖。處理腔室120可以是可從加利福尼亞州聖克拉拉的應用材料公司獲得的Selectra® 蝕刻腔室。處理腔室120包括腔室主體302、蓋組件304和支撐組件306。蓋組件304設置在腔室主體302的上端處,並且支撐組件306至少部分地設置在腔室主體302內。腔室主體302、蓋組件304和支撐組件306一起限定可在其中處理基板的區域。從以下描述中顯而易見的是,處理腔室120可以實現一或多個電容耦合電漿(CCP)。
蓋組件304包括RF電極308。氣體入口管310延伸穿過RF電極308並進一步耦接至氣體歧管312。流對中插入件(flow centering insert)314可以設置在氣體入口管310中。氣源316經由氣體歧管312流體地耦接至氣體入口管310。氣源316可以提供通過氣體入口管310並進一步通過流對中插入件314的氣流318。RF功率源320和RF匹配網路322耦接至RF電極308,並因此還耦接至氣體入口管310。
阻隔板324耦接至RF電極308並可以維持在與RF電極308相同的電位。阻隔板324具有從中穿過的孔(aperture),這些孔允許氣體流經阻隔板324。氣體分配板326同樣地耦接至RF電極308並可以維持在與RF電極308相同的電位。氣體分配板326比阻隔板324更遠離RF電極308。氣體分配板326也具有從中穿過的孔,這些孔允許氣體流經氣體分配板326。阻隔板324和氣體分配板326可以用於使氣流重定向,使得阻隔板324和氣體分配板326的與腔室120中的氣源(例如,氣體入口管310)相對的相應側上的氣流更均勻。
絕緣體330將氣體分配板326與氣體分配裝置334分開並使之電絕緣。氣體分配裝置334接地。氣體分配裝置334接地並具有從中穿過的孔。氣體分配板326、氣體分配裝置334和絕緣體330的表面限定第一電漿區域332(例如,遠端電漿區域)。當經由氣體入口管310提供氣流318,氣流318穿過阻隔板324和氣體分配板326,並且藉由RF功率源320提供通過RF電極308和氣體分配板326的RF能量時,可以在第一電漿區域332中產生電漿。當在第一電漿區域332中產生電漿時,電漿產物(例如,自由基、離子和電子)可以通過氣體分配裝置334。一般而言,將接地氣體分配裝置334定位在氣體分配板326和製程區域352之間可以最小化或防止在處理期間在氣體分配裝置334上方形成的電漿中離子化的氣體到達基板的表面。減少對含離子的處理氣體的暴露可以防止或最小化因電漿產生的離子對基板的表面的轟擊而在基板中引起的損壞量。
氣體分配裝置334進一步具有流體地耦接至氣源338的溝道336,所述溝道可以用於在氣體分配裝置334的遠離第一電漿區域332的一側上引入一或多種其他氣體。氣源338可以經由溝道336提供氣流340。加熱元件342可以設置在氣體分配裝置334或其他部件中,並且可以促進第一電漿區域332中的電漿的熱分佈和維持。
支撐組件306包括由腔室主體302支撐的基板支撐件348。支撐組件306被配置為支撐基板350。第二電漿區域(例如,直接電漿區域) 限定在氣體分配裝置334與基板350之間的製程區域352中。氣流318和來自第一電漿區域332的電漿產物可以通過氣體分配裝置334進入製程區域352。基板支撐件348進一步連接至RF功率源354,以在處理期間提供偏壓。當經由氣體分配裝置334的溝道336提供氣流340並且藉由RF功率源354向基板支撐件348提供RF能量時,可以在製程區域352中的第二電漿區域中產生電漿。
支撐組件306可包括靜電吸盤(electrostatic chuck, ESC)。基板支撐件348可以藉由軸358耦接至致動器356,軸358延伸穿過形成在腔室主體302的底部中的居中地定位的開口。致動器356可以藉由波紋管(未示出)柔性地密封至腔室主體302,從而防止真空從軸358周圍洩漏。致動器356允許基板支撐件348在腔室主體302內在處理位置與下部傳送位置之間垂直地移動。傳送位置在形成在腔室主體302的側壁中的狹縫閥開口(未示出)稍下方。在操作中,基板支撐件348可以升高到緊鄰蓋組件304的位置。儘管未具體地示出,但是基板支撐件348可包括加熱元件和冷卻元件,以在處理期間將基板350維持在目標溫度。
可作為處理系統100的氣體和壓力控制系統的一部分的真空系統可用於從處理腔室120去除氣體。真空系統包括真空泵362,真空泵362耦接至設置在腔室主體302中的真空埠364。處理腔室120還包括控制器(未示出),所述控制器可以是系統控制器190或由系統控制器190控制的控制器,用於控制處理腔室120內的製程。
圖4是可用於執行磊晶生長的熱處理腔室400的剖視圖。處理腔室400包括腔室主體402、支撐系統404和控制器406。腔室主體402包括上部部分412和下部部分414。上部部分412包括腔室主體402內的在上部拱形結構(dome)416與基板401之間的區域。下部部分414包括腔室主體402內的在下部拱形結構430與基板401的底部之間的區域。沉積製程一般在上部部分412內在基板401的上表面上發生。
支撐系統404包括用於執行和監測預定製程(諸如處理腔室400中的磊晶膜的生長)的部件。控制器406耦接至支撐系統404並適於控制處理腔室400和支撐系統404。控制器406可以是系統控制器190或由系統控制器190控制的控制器,用於控制處理腔室400內的製程。
處理腔室400包括多個熱源,諸如燈435,所述熱源適於向定位在處理腔室400內的部件提供熱能。例如,燈435可適於向基板401、基座426和/或預熱環423提供熱能。下部拱形結構430可以由光學透明材料(諸如石英)形成,以促進熱輻射從中通過。設想的是,燈435可以定位成經由上部拱形結構416以及下部拱形結構430提供熱能。
腔室主體402包括在其中形成的多個氣室(plenum)。氣室與一或多個氣源476(諸如載氣)和一或多個前驅物源478(諸如沉積氣體和摻雜氣體)流體地連通。例如,第一氣室420可適於將從中通過的沉積氣體450提供到腔室主體402的上部部分412中,而第二氣室424可適於從上部部分412排放沉積氣體450。以這樣的方式,沉積氣體450可以平行於基板401的上表面流動。
在使用液體前驅物的情況下,熱處理腔室400可包括與液體前驅物源482流體地連通的液體蒸發器480。液體蒸發器480用於蒸發待輸送到熱處理腔室400的液體前驅物。儘管未示出,但是設想的是,液體前驅物源482可包括例如一或多個前驅物液體和溶劑液體的安瓿、截止閥和液體流量計(LFM)。
基板支撐組件432定位在腔室主體402的下部部分414中。基板支撐組件432被示出為在處理位置支撐基板401。基板支撐組件432包括由光學透明材料形成的基座支撐軸427和由基座支撐軸427支撐的基座426。基座支撐軸427的軸460定位在升降銷接觸件442耦接至的護罩(shroud)431內。基座支撐軸427是可旋轉的,以便促進在處理期間基板401的旋轉。藉由耦接至基座支撐軸427的致動器429來促進基座支撐軸427的旋轉。護罩431一般固定在適當位置,並且因此在處理期間不旋轉。支撐銷437將基座支撐軸427耦接至基座426。
升降銷433穿過形成在基座支撐軸427中的開口(未標記)設置。升降銷433是可垂直地致動的並且適於與基板401的底側接觸以將基板401從處理位置(如圖所示)提升至基板取出位置。
預熱環423可移除地設置在耦接至腔室主體402的下部襯裡440上。預熱環423設置在腔室主體402的內部容積的周圍並當基板401在處理位置時包圍基板401。預熱環423在製程氣體通過與預熱環423相鄰的第一氣室420進入腔室主體402時促進製程氣體的預熱。
上部拱形結構416的中心視窗部分415和下部拱形結構430的底部部分417可由光學透明材料(諸如石英)形成。上部拱形結構416的圍繞中心視窗部分415的圓周接合中心視窗部分415的周邊凸緣419、下部拱形結構430的圍繞底部部分的圓周接合底部部分的周邊凸緣421均可由不透明的石英形成,以保護靠近周邊凸緣的O形環422免於直接地暴露於熱輻射。周邊凸緣419可由光學透明材料(諸如石英)形成。
圖5是根據本揭示內容的一些實施例的半導體處理的方法500的流程圖。圖6至圖13B示出了根據本揭示內容的一些實施例的圖5的方法500的方面。本文描述的實施例是在p型場效應電晶體(FET)(諸如水平閘極全捲繞(hGAA)FET)的背景下。本領域的普通技術人員將容易地理解所描述的實施例的變型以實現諸如hGAA FET的n型FET,包括使用例如不同材料、摻雜物等,並且此類變型在其他實施例的範圍內是可設想的。
圖6示出了根據一些實施例的在處理期間的三維中間結構。為了獲得圖6的中間結構,提供基板2。基板2可以是任何適當的半導體基板,諸如體基板(bulk substrate)、絕緣體上半導體(SEMIconductor-on-insulator, SOI)基板或類似者。在一些實例中,基板2是體矽晶圓。基板尺寸的實例包括200 mm直徑、350 mm直徑、400 mm直徑和450 mm直徑等。在基板2中形成n阱(n-well)4。例如,可以使用離子佈植在基板2中佈植n型摻雜劑來形成n阱。示例n型摻雜劑包括砷、磷等或它們的組合。在基板2上形成超晶格。超晶格包括不同材料的交替層6、8。在一些實例中,交替層6、8分別是矽鍺(Si1-x Gex ,其中x在約0.10至約0.40的範圍內)和矽。在此類實例中,矽鍺層6的厚度可以在約5 nm至約10 nm的範圍內,並且矽層8的厚度可以在約5 nm至約10 nm的範圍內。超晶格中的交替層6、8的總數可以在1至5的範圍內。可以使用任何適當的磊晶生長製程來形成超晶格的交替層6、8。
隨後,在基板2上形成鰭片(fin)10。可以藉由蝕刻在Y方向上穿過所形成的超晶格延伸並進入基板2(例如,穿過n阱4)的溝槽11來形成鰭片10,使得每個鰭片10限定在一對相鄰溝槽之間。可以使用多重圖案化製程來蝕刻溝槽,諸如自對準雙圖案化(SADP)、微影光刻-蝕刻-微影光刻-蝕刻(LELE)雙重圖案化等,以實現鰭片10之間的目標間距。蝕刻溝槽的示例蝕刻製程包括反應離子蝕刻(RIE)製程或類似製程。如圖6所示,每個鰭片10包括(交替層6、8的)超晶格、基板2中的n阱4以及基板2的部分2A。
在鰭片10之間的溝槽中形成隔離結構12。在一些實例中,隔離結構12可以被稱為淺溝槽隔離(shallow trench isolation, STI)。可以沿著溝槽共形地形成襯裡層(未示出)以形成鰭片10的氣密阻擋層(hermetic barrier),並且可以在溝槽中的襯裡層上形成介電材料。襯裡層可以是例如藉由原子層沉積(ALD)、化學氣相沉積(CVD)或類似者沉積的氮化物(例如,氮化矽)。介電材料可以是例如藉由可流動CVD(FCVD)或類似者沉積的氧化物(例如,氧化矽)。隨後,使介電材料和襯裡層凹陷以形成隔離結構12,使得鰭片10突出到隔離結構12的上方。因此,在一些實例中,隔離結構12包括沿著鰭片10的襯裡層和形成在襯裡層上的介電材料。在所示的實例中,隔離結構12的頂表面在基板2的形成鰭片10的至少一部分的相應頂表面處或上方。在其他實例中,隔離結構12的頂表面可以處於不同水準,諸如在基板2的頂表面下方。
圖7A示出了根據一些實施例的在進一步處理之後的三維中間結構。中間結構示出了彼此垂直的截面X-X和截面Y-Y。截面X-X沿著鰭片10的縱向方向(例如,跨越鰭片10中的源極/汲極區域和溝道區域),並且截面Y-Y沿著虛設閘極結構(dummy gate structure)14的縱向方向(例如,跨越相應鰭片中的溝道區域)。圖7B示出了圖7A的三維中間結構,其中此中間結構的一部分沿著平行於截面Y-Y的平面切開以縱向地暴露鰭片10。截面Y-Y對應於在後續處理期間的以「A」結尾的後續附圖,並且截面X-X對應於在後續處理期間的以「B」結尾的後續附圖。
虛設閘極結構14形成在鰭片10上。每個虛設閘極結構14可包括沿著鰭片10的介面介電層(未示出)、位於介面介電層上的虛設閘極層、以及位於虛設閘極層上的掩模層。例如,可以使用氧化(例如,電漿、化學和/或熱氧化)在鰭片10上形成介面介電層,或可以使用適當的沉積製程(例如,ALD、CVD等)沉積介面介電層。接著,可以在介面介電層上沉積(例如,藉由CVD、物理氣相沉積(PVD)等)虛設閘極層並將其平坦化(例如,藉由化學機械平坦化(CMP))。隨後,可以在虛設閘極層上沉積(例如,藉由CVD、PVD等)掩模層。在一些實例中,介面介電層是氧化物;虛設閘極層是矽,諸如非晶矽和/或多晶矽;並且掩模層是氮化矽、氮化矽碳、氧氮化矽等。隨後,使用適當的蝕刻製程(諸如RIE或類似製程)將掩模層、虛設閘極層和介面介電層圖案化為圖7A和7B中所示的虛設閘極結構14。
圖8A和8B示出了根據一些實施例的在進一步處理之後的中間結構的剖視圖。閘極間隔件16沿著虛設閘極結構14的側壁形成。一或多個介電層可以沿著鰭片10、隔離結構12和虛設閘極結構14的表面共形地沉積並被各向異性地蝕刻,使得一或多個介電層的部分沿著虛設閘極結構14的側壁保留以形成閘極間隔件16。閘極間隔件16的一或多個層可以是或包括氮化矽、氮化矽碳、氧氮化矽、氧氮化矽碳等,並且可以使用ALD、電漿增強CVD(PECVD)等或它們的組合沉積。一或多個介電層可以使用RIE或類似製程被各向異性地蝕刻。
隨後,在虛設閘極結構14的相對側上在鰭片10中形成磊晶源極/汲極區域18。在鰭片10中形成凹陷。例如,可以使用虛設閘極結構14(例如,虛設閘極結構14的掩模層)和閘極間隔件16作為用於蝕刻製程的掩模來執行蝕刻製程。蝕刻製程可以是各向異性的和/或各向同性的。例如,蝕刻製程可以是RIE或類似製程,或者可以是濕法蝕刻,諸如使用氫氧化四甲基銨(tetramethylammonium hydroxide, TMAH)或類似物。隨後,可以在凹陷中磊晶地生長磊晶源極/汲極區域18。對於p型FET,磊晶源極/汲極區域18可以是或包括矽鍺(Si1-x Gex ,其中x在約0.05至約0.75的範圍內)或可摻雜有濃度範圍為約1×1020 cm-3 至約1×1022 cm-3 的p型摻雜劑(諸如硼)的其他合適的材料。在一些實例中,在沉積磊晶源極/汲極區域18的體材料之前,可以沿著凹陷的表面沉積磊晶襯裡層。磊晶襯裡層可以在後續處理期間提供蝕刻選擇性。可以使用低壓CVD(LPCVD)、金屬有機CVD(MOCVD)、液相磊晶(LPE)等或它們的組合來執行磊晶生長。如圖8A所示,凹陷以及因此磊晶源極/汲極區域18形成到鰭片10中的基板2的相應頂表面。在其他實例中,可以將凹陷形成到不同水準,諸如在超晶格中在基板2的頂表面上方或在基板2的頂表面下方具有底表面。
接觸蝕刻終止層(CESL)20共形地形成在虛設閘極結構14、閘極間隔件16、磊晶源極/汲極區域18和隔離結構12的表面上。CESL 20可以是或包括藉由ALD、PECVD等或它們的組合沉積的氮化矽、氧氮化矽、氧氮化矽碳、氮化矽碳等。層間介電質(ILD0)22形成在CESL 20上。ILD0 22可以是或包括氧化矽、氧化矽碳、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、低介電常數介電質等或它們的組合並可以藉由CVD、PVD等或它們的組合來沉積。
圖9A和9B示出了根據一些實施例的在進一步處理之後的中間結構的剖視圖。根據圖5的方法500中的框502,去除虛設閘極結構。諸如藉由CMP製程將ILD0 22和CESL 20平坦化以暴露虛設閘極結構14。平坦化還可以去除虛設閘極結構14的掩模層。隨後,諸如藉由對虛設閘極層的材料有選擇性的濕法或幹法蝕刻製程來去除虛設閘極結構14的虛設閘極層,這可以使用介面介電層作為蝕刻終止層。也可以去除或可以保留介面介電層。
根據框504,隨後將具有圖9A和9B的中間結構的基板2傳送到處理系統,諸如圖1的處理系統100。例如,基板2由FOUP 144傳送到工廠介面102,在那裡,工廠介面機械手142經由埠150或152進一步將基板2從FOUP 144傳送到裝載閘腔室104或106。隨後如上所述抽空裝載閘腔室104或106。後續傳送和處理步驟在處理系統100中執行,如框506所示,例如,而不將基板2暴露於在處理系統外部的大氣周邊環境中且不破壞處理系統100的傳送設備內維持的低壓或真空環境。框506所示的處理僅是實例。框506中的一些處理可以不在處理系統100中執行,和/或附加製程可以在處理系統100中執行。
在框508中,將基板2傳送到處理系統100的第一處理腔室,例如處理腔室122。例如,傳送機械手110通過埠154或156從裝載閘腔室104或106傳送基板2通過埠164到達處理腔室122。在框510中,在處理腔室122中對基板2執行清潔製程。清潔製程可以是SiCoNi® 預清潔製程。清潔製程可以去除在其中去除虛設閘極結構14(例如,虛設閘極層)的鰭片10上形成的任何介面介電層和/或原生氧化物。清潔製程可以諸如藉由從交替層6、8去除氧化物來清潔交替層6、8。
在圖2所示的處理腔室122中執行的一些實施例中,清潔製程包括使三氟化氮(NF3 )和氦(He)的混成物從氣體入口226流入,以及使氨(NH3 )從氣體入口225流入。三氟化氮(NF3 )和氦(He)的混成物的比例可以在1:350(NF3 :He)至1:120(NF3 :He)的範圍內,該混成物可以從氣體入口226以在5000 sccm至7000 sccm的範圍內的流量(flow rate)流入,諸如三氟化物(NF3 )的流量在10 sccm至25 sccm的範圍內,而氦(He)的流量在約3000 sccm至3500 sccm的範圍內。腔室122中的壓力可以維持在0.25 Torr至約2 Torr的範圍內。在約10 MHz至約20 MHz的範圍內(例如,13.56 MHz)的頻率下,由RF功率源224施加的功率可以在約10 W至約50 W的範圍內。
在處理腔室122中執行清潔製程之後,在框512中,將基板2傳送到處理系統100的第二處理腔室,例如處理腔室120。例如,基板2由傳送機械手110通過埠164從處理腔室122傳送通過埠162到達處理腔室120。在框514中,在處理腔室120中的基板2上執行選擇性蝕刻製程。圖10A和圖10B示出了根據一些實施例的在選擇性蝕刻製程之後的中間結構的剖視圖。鰭片10中的超晶格的交替層6、8的層6藉由選擇性蝕刻製程(例如,各向同性蝕刻製程)被選擇性地去除。處理腔室120可以是Selectra® 蝕刻系統,其可以執行選擇性蝕刻製程。在一些實例中,如用圖3中示出的處理腔室120執行的那樣,選擇性地蝕刻矽鍺。
在處理腔室120中執行選擇性蝕刻製程之後,在框516中,在處理腔室120中的基板2上執行修整製程。圖11A和圖11B示出了根據一些實施例的在修整製程之後的中間結構的剖視圖。藉由修整製程修整鰭片10中的超晶格的層8,以形成修整的層8’。可以從層8修整在約2 nm至約3 nm範圍內的共形厚度,以形成修整的層8’。與選擇性蝕刻製程一樣,修整製程可以藉由Selectra® 蝕刻系統進行。
在用圖3所示的處理腔室120執行以修整矽的一些實施例中,修整製程包括藉由流318使來自氣源316的第一蝕刻氣體流動,所述第一蝕刻氣體可包括三氟化氮(NF3 )、三氟化氮(NF3 )和(He)的混成物、或類似物中的一或多種,並且藉由流340使來自氣源338的第二蝕刻氣體流動,所述第二蝕刻氣體可包括三氟化氮(NF3 )或類似物中的一或多種。三氟化氮(NF3 )和氦(He)的混成物的比例可以在1:350(NF3 :He)至1:120(NF3 :He)的範圍內,此混成物可以從氣源316以在5000 sccm至7000 sccm的範圍內的流量流動,諸如三氟化物(NF3 )的流量在10 sccm至25 sccm的範圍內,而氦(He)的流量在約3000 sccm至3500 sccm的範圍內。腔室120中的壓力可以維持在0.25 Torr至約2 Torr的範圍內。在約10 MHz至約50 MHz(例如13.56 MHz)的頻率下,由RF功率源320施加的功率可以在約10 W至約50 W的範圍內。
在處理腔室120中執行修整製程之後,在框518中,將基板2傳送到處理系統100的第三處理腔室,例如處理腔室124、126、128或130。例如,由傳送機械手110將基板2通過埠162從處理腔室120傳送通過埠158或160到達保持腔室112或114。接著,由傳送機械手118將基板2通過埠166或168從保持腔室112或114傳送通過埠170或172、174、176到達處理腔室124、126、128、130中的一個。作為一個實例,為了簡潔起見,將基板2傳送到處理腔室124中。在框520中,在處理腔室124中對基板2執行磊晶生長製程。圖12A和圖12B示出了根據一些實施例的在磊晶生長製程之後的中間結構的剖視圖。磊晶生長製程在修整的層8’和基板2的暴露表面上形成包覆層24。包覆層24位於修整的層8’和基板2的暴露表面上並且在相鄰磊晶源極/汲極區域18之間延伸。包覆層24可以被施加應力(例如,被施加了壓縮應力),並且可以形成相應hGAA FET的溝道區域的至少一部分。在超晶格的層8是矽的實例中,包覆層24可以是矽鍺(Si1-x Gex ,其中x在約0.05至約0.75的範圍內)。在單個處理系統100內傳送基板2允許傳送基板2而不將基板2暴露於在處理系統100外部的大氣周邊環境中(例如,製造設施環境)。藉由避免將基板2暴露於這種大氣周邊環境,可以避免在處理腔室120中的處理與在處理腔室124中的處理之間的清潔製程,諸如因為不發生由於暴露於這種大氣周邊環境而引起的氧化。
在用圖4所示的處理腔室400(例如,處理腔室124、126、128或130)執行以磊晶地生長矽鍺的一些實施例中,磊晶生長製程包括使含矽前驅物(諸如甲矽烷(SiH4 ))、乙矽烷(Si2 H6 )、丙矽烷(Si3 H8 )、丁矽烷(Si4 H10 )或類似物)流動並且使含鍺前驅物(諸如四氯化鍺(GeCl4 )、氯鍺烷(GeH3 Cl)、二氯鍺烷(GeH2 Cl2 )、三氯鍺烷(GeHCl3 )、六氯二鍺烷(Ge2 Cl6 )、八氯三鍺烷(Ge3 Cl8 )或類似物)流動。含矽前驅物可以以在5 sccm至200 sccm的範圍內的流量流動。含鍺前驅物可以以在50 sccm至1200 sccm的範圍內的流量流動。處理腔室400中的壓力可以維持在5 Torr至約300 Torr的範圍內。可以在約560℃至約750℃的範圍內的溫度下執行磊晶生長製程。
在例如處理腔室124中的磊晶生長製程之後,基板2可以由傳送機械手118通過埠170從處理腔室124傳送通過埠172、174、176到達另一個處理腔室126、128、130(例如,用於後續的層(諸如下文所描述的閘極介電層、功函數調諧層(work-function tuning layer)和/或金屬填充物)的沉積),和/或隨後通過埠166或168傳送到保持腔室112或114。隨後,基板2可以由傳送機械手110通過埠158或160從保持腔室112或114傳送通過埠162、164到達另一個處理腔室120、122,和/或隨後通過埠154或156傳送到裝載閘腔室104或106。隨後,由工廠介面機械手142通過埠150或152將基板2傳送出裝載閘腔室104或106以到達FOUP 144。隨後,可以將基板2輸送到其他處理系統以進行進一步處理。
圖13A和圖13B示出了根據一些實施例的在進一步處理之後的中間結構的剖視圖。在方法500的框522中,形成替換閘極結構,其中虛設閘極結構14被去除而位於包覆層24上。每個替換閘極結構包括閘極介電層26、一或多個功函數調諧層28以及金屬填充物30。閘極介電層26可以是或包括金屬氧化物(其可以是高介電常數介電質(例如,具有大於約3.9的k值),諸如氧化鉿、氧化鉭、氧化鋁、氧化鋯或類似物)和/或其他介電材料(諸如氮化矽)。功函數調諧層28可以是或包括鈦鋁、氮化鈦、碳化鋁鈦、鉭鋁、氮化鉭、碳化鋁鉭、鎢或鈷。金屬填充物30可以是或包括鈷、鎢、鋁、鈦鋁或類似物。閘極介電層26共形地沉積在包覆層24的表面上和周圍、閘極間隔件16的側壁上、磊晶源極/汲極區域18的暴露表面上、以及隔離結構12的頂表面上。功函數調諧層28共形地沉積在閘極介電層26上並進一步圍繞包覆層24。可以藉由ALD、PECVD、分子束沉積(MBD)等或它們的組合來沉積閘極介電層26和功函數調諧層28。閘極介電層26和功函數調諧層28可以在處理系統100的處理腔室(諸如處理腔室124、126、128、130) 或另一個處理系統的處理腔室中沉積。金屬填充物30沉積在功函數調諧層28上,並且在一些情況下,沉積在包覆層24周圍。可以藉由ALD、CVD、PVD等或它們的組合來沉積金屬填充物30。金屬填充物30可以在處理系統100的處理腔室(諸如處理腔室124、126、128、130)或另一個處理系統的處理腔室中沉積。可以藉由平坦化製程(諸如CMP)來去除任何多餘的金屬填充物30、功函數調諧層28和閘極介電層26(例如,在ILD0 22的頂表面上),以形成替換閘極結構,如圖13A和圖13B中所示。
層間介電質(ILD1)32形成在ILD0 22和替換閘極結構上。ILD1 32可以是或包括相同材料,並且可以使用與上述ILD0 22相同的製程進行沉積。將接觸件34穿過ILD1 32、ILD0 22和CESL 20形成到相應磊晶源極/汲極區域18。接觸件34可包括在相應磊晶源極/汲極區域18上的矽化物、沿著(例如,ILD1 32、ILD0 22和CESL 20的)介電側壁的黏附或膠粘層、沿著介電側壁的阻擋層和/或金屬填充物。可以使用許多不同技術來形成接觸件34,這對本領域的普通技術人員而言將是顯而易見的。一般而言,將接觸開口穿過ILD1 32、ILD0 22和CESL 20蝕刻到磊晶源極/汲極區域18,並且在接觸開口中形成接觸件34。
在本文描述的實施例中,在單個處理系統100中執行用於去除超晶格的層6、修整超晶格的剩餘的層8以及在修整的層8’上磊晶地生長包覆層24的處理。在單個處理系統100中執行此處理的步驟允許基板2在用於不同處理的不同腔室之間傳送,而不將基板2暴露於在處理系統100外部的大氣周邊環境(例如,製造設施環境)。藉由避免將基板暴露於這種大氣周邊環境,可以避免在不同處理之間的清潔製程,諸如因為不會發生由於暴露於這種大氣周邊環境而引起的氧化。避免這些清潔製程可以降低處理成本並減少Q時間。因此,本文描述的實施例提供用於去除超晶格的層6、修整超晶格的剩餘的層8、以及在修整的層8’上磊晶地生長包覆層24的處理的整合解決方案。
儘管前述內容針對的是本揭示內容的各個實施例,但是在不脫離本揭示內容的基本範圍的情況下,可以設計出本揭示內容的其它和進一步的實施例,並且本揭示內容的範圍由所附申請專利範圍確定。
2:板基板 2A:部分 4:n阱 6:交替層 8:交替層 10:鰭片 11:溝槽 12:隔離結構 14:虛設閘極結構 16:閘極間隔件 18:磊晶源極/汲極區域 20:接觸蝕刻終止層 22:層間介電質 24:包覆層 26:閘極介電層 28:功函數調諧層 30:金屬填充物 34:接觸件 100:處理系統 102:工廠介面 104:裝載閘腔室 106:裝載閘腔室 108:傳送腔室 110:傳送機械手 112:保持腔室 114:保持腔室 116:傳送腔室 118:傳送機械手 120:處理腔室 122:處理腔室 124:處理腔室 126:處理腔室 128:處理腔室 130:處理腔室 140:塢站 142:工廠介面機械手 144:前開式晶圓盒(FOUP) 148:葉片 150:埠 152:埠 154:埠 156:埠 158:埠 160:埠 162:埠 164:埠 166:埠 168:埠 170:埠 172:埠 174:埠 176:埠 190:系統控制器 192:中央處理單元 194:記憶體 196:支援電路 210:板 212:腔室主體 214:緊鄰蓋組件 216:支撐組件 218:真空泵 220:第一電極 221:真空埠 222:第二電極 224:射頻(RF)功率源 225:氣體入口 226:氣體入口 228:阻隔板 230:氣體分配板 232:板支撐件 234:致動器 236:軸 280:偏壓RF電源 284:匹配網路 302:腔室主體 304:蓋組件 306:支撐組件 308:RF電極 310:氣體入口管 312:氣體歧管 314:流對中插入件 316:氣源 318:氣流 320:RF功率源 322:RF匹配網路 324:阻隔板 326:氣體分配板 330:絕緣體 332:第一電漿區域 334:氣體分配裝置 336:溝道 338:氣源 340:氣流 342:加熱元件 348:基板支撐件 350:基板 352:製程區域 354:RF功率源 356:致動器 358:軸 362:真空泵 364:真空埠 400:熱處理腔室 401:基板 402:腔室主體 404:支撐系統 406:控制器 412:上部部分 414:下部部分 415:中心視窗部分 416:上部拱形結構 417:底部部分 419:周邊凸緣 420:第一氣室 421:周邊凸緣 422:O形環 423:預熱環 424:第二氣室 426:基座 427:基座支撐軸 429:致動器 430:下部拱形結構 431:護罩 432:基板支撐組件 433:升降銷 435:燈 437:支撐銷 440:下部襯裡 442:升降銷接觸件 450:沉積氣體 460:軸 476:氣源 478:前驅物源 480:液體蒸發器 482:液體前驅物源 500:方法 502:框 504:框 506:框 508:框 510:框 512:框 514:框 516:框 518:框 520:框 522:框
可以參照實施例來詳細地理解本揭示內容的上述特徵,以及上面簡要概述的更具體的描述,其中一些實施例在附圖中示出。然而,應當注意,附圖僅示出了一些實施例,並且因此不應被視為對本揭示內容的範圍的限制,因為本揭示內容可以允許其他等效的實施例。
圖1是根據本揭示內容的一些實施例的示例多腔室處理系統的示意性俯視圖。
圖2是根據本揭示內容的一些實施例的可用於執行清潔製程的處理腔室的剖視圖。
圖3是根據本揭示內容的一些實施例的可用於執行選擇性蝕刻製程和修整製程的處理腔室的剖視圖。
圖4是根據本揭示內容的一些實施例的可用於執行磊晶生長的熱處理腔室的剖視圖。
圖5是根據本揭示內容的一些實施例的半導體處理的方法的流程圖。
圖6、圖7A、圖7B、圖8A、圖8B、圖9A、圖9B、圖10A、圖10B、圖11A、圖11B、圖12A、圖12B、圖13A和圖13B是示出根據本揭示內容的一些實施例的圖5的方法的各方面的中間半導體結構的各個視圖。
為了便於理解,已經儘可能地使用相同的元件符號標示各圖共有的相同元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
500:方法
502:框
504:框
506:框
508:框
510:框
512:框
514:框
516:框
518:框
520:框
522:框

Claims (18)

  1. 一種用於半導體處理的方法,該方法包括以下步驟:在一處理系統的一第一處理腔室中選擇性地蝕刻一第一材料,該第一材料設置在處於一基板上的一溝道區域中的該第一材料和一第二材料的交替層內;在該處理系統的該第一處理腔室中修整該第二材料的一部分;將該基板從該處理系統的該第一處理腔室傳送到該處理系統的一第二處理腔室,而不將該基板暴露於在該處理系統外部的一周邊環境;以及在該處理系統的該第二處理腔室中在該修整的第二材料的相應層上磊晶地生長一包覆層,其中在具有小於或等於300Torr的一壓力的一傳送環境中將該基板從該處理系統的該第一處理腔室傳送到該處理系統的該第二處理腔室,而不在傳送期間去除該傳送環境。
  2. 如請求項1所述的方法,進一步包括以下步驟:在該處理系統的一第三處理腔室中清潔該第一材料和該第二材料的該等交替層,其中清潔該等交替層的步驟包括在該第一處理腔室中選擇性地蝕刻該第一材 料之前,從該交替層中的一或多個去除氧化物的步驟;以及將該基板從該處理系統的該第三處理腔室傳送到該處理系統的該第一處理腔室,而不將該基板暴露於在該處理系統外部的該周邊環境。
  3. 如請求項1所述的方法,其中在修整該第二材料之後且在磊晶地生長該包覆層之前,不執行清潔製程。
  4. 如請求項1所述的方法,其中該處理系統包括一傳送設備,該傳送設備包括一或多個傳送腔室,該第一處理腔室和該第二處理腔室耦接至該傳送設備,該基板在該傳送設備中從該處理系統的該第一處理腔室傳送到該處理系統的該第二處理腔室。
  5. 如請求項1所述的方法,其中在選擇性地蝕刻該第一材料之前,該等交替層在該基板上形成一鰭片的至少一部分。
  6. 如請求項1所述的方法,其中該第一材料是矽鍺,該第二材料是矽,並且該包覆層是矽鍺。
  7. 如請求項1所述的方法,進一步包括在該包覆層上形成一閘極結構的步驟。
  8. 一種半導體處理系統,包括:一傳送設備; 一第一處理腔室,耦接至該傳送設備;一第二處理腔室,耦接至該傳送設備;以及一系統控制器,被配置為:控制在該第一處理腔室中執行的一選擇性蝕刻製程,該選擇性蝕刻製程選擇性地去除一第一材料,該第一材料設置在處於一基板上的一溝道區域中的該第一材料和一第二材料的交替層內;控制在該第一處理腔室中執行的一修整製程,該修整製程修整該第二材料的一部分;控制該基板藉由該傳送設備從該第一處理腔室向該第二處理腔室的一傳送步驟;以及控制在該第二處理腔室中執行的一磊晶生長製程,該磊晶生長製程在該修整的第二材料的相應層上沉積一包覆層,其中該系統控制器被配置為在該基板從該第一處理腔室傳送到該第二處理腔室期間維持該傳送設備中的一壓力小於或等於300Torr。
  9. 如請求項8所述的半導體處理系統,進一步包括一第三處理腔室,其中該系統控制器被配置為:控制在該第三處理腔室中執行的一清潔製程,該清潔製程清潔該基板;以及控制該基板藉由該傳送設備從該第三處理腔室向該 第一處理腔室的一傳送步驟。
  10. 如請求項8所述的半導體處理系統,其中該系統控制器被配置為在該基板從該第一處理腔室傳送到該第二處理腔室期間防止在該傳送設備外部的一大氣周邊環境進入該傳送設備。
  11. 如請求項8所述的半導體處理系統,其中該傳送設備包括:一第一傳送腔室,耦接至該第一處理腔室;一保持腔室,耦接至該第一傳送腔室;以及一第二傳送腔室,耦接至該保持腔室和該第二處理腔室,其中當該基板從該第一處理腔室傳送到該第二處理腔室時,該基板傳送通過該第一傳送腔室、該保持腔室和該第二傳送腔室。
  12. 如請求項8所述的半導體處理系統,進一步包括:一裝載閘腔室,耦接至該傳送設備;以及一工廠介面,耦接至該裝載閘腔室,其中該基板從該工廠介面被傳送通過該裝載閘腔室到達該傳送設備。
  13. 一種半導體處理系統,包括:一非暫時性電腦可讀媒體,存儲指令,該等指令在由一處理器執行時致使一電腦系統執行以下操作: 控制在一處理系統的一第一處理腔室中的一選擇性蝕刻製程,該選擇性蝕刻製程選擇性地去除一第一材料,該第一材料設置在處於一基板上的一溝道區域中的該第一材料和一第二材料的交替層內;控制在該第一處理腔室中的一修整製程,該修整製程修整該第二材料的一部分;控制該基板藉由該處理系統的一傳送設備從該處理系統的該第一處理腔室向一第二處理腔室的一傳送步驟,該第一處理腔室和該第二處理腔室耦接至該傳送設備;以及控制在該第二處理腔室中的一磊晶生長製程,該磊晶生長製程在該修整的第二材料的相應層上沉積一包覆層,其中該電腦系統被配置為在該基板從該第一處理腔室傳送到該第二處理腔室期間維持該第一傳送腔室中的一壓力小於或等於300Torr。
  14. 如請求項13所述的半導體處理系統,其中控制該基板從該第一處理腔室向該第二處理腔室的一傳送步驟在不將該基板暴露於在該處理系統外部的一周邊環境的情況下執行。
  15. 如請求項13所述的半導體處理系統,其中控制該基板從該第一處理腔室向該第二處理腔室的該 傳送步驟包括控制在該傳送設備中在具有小於或等於300Torr的一壓力的一傳送環境中該基板的該傳送步驟。
  16. 如請求項13所述的半導體處理系統,其中該等指令在由該處理器執行時不使該電腦系統在該修整製程之後且該磊晶生長製程之前實施一清潔製程。
  17. 如請求項13所述的半導體處理系統,其中該等指令在由該處理器執行時致使該電腦系統進一步執行以下操作:控制在該處理系統的一第三處理腔室中的一清潔製程,該清潔製程清潔該第一材料和該第二材料的該等交替層;以及控制該基板藉由該傳送設備從該第三處理腔室向該第一處理腔室的一傳送步驟,該第三處理腔室耦接至該傳送設備。
  18. 如請求項13所述的半導體處理系統,其中:該傳送設備包括耦接至該第一處理腔室和該第二處理腔室的一第一傳送腔室;以及該基板從該第一處理腔室向該第二處理腔室的該傳送步驟致使該基板通過該第一傳送腔室。
TW108140366A 2018-11-13 2019-11-07 整合半導體處理 TWI814938B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862760553P 2018-11-13 2018-11-13
US62/760,553 2018-11-13

Publications (2)

Publication Number Publication Date
TW202107561A TW202107561A (zh) 2021-02-16
TWI814938B true TWI814938B (zh) 2023-09-11

Family

ID=70550763

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140366A TWI814938B (zh) 2018-11-13 2019-11-07 整合半導體處理

Country Status (4)

Country Link
US (1) US10861722B2 (zh)
KR (1) KR102293601B1 (zh)
CN (1) CN111180359A (zh)
TW (1) TWI814938B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508828B2 (en) 2020-07-06 2022-11-22 Applied Materials, Inc. Selective silicon etch for gate all around transistors
US20220123123A1 (en) * 2020-10-20 2022-04-21 Applied Materials, Inc. Formation of gate all around device
EP4374417A1 (en) * 2021-07-23 2024-05-29 Applied Materials, Inc. Methods of formation of a sige/si superlattice
US11965241B2 (en) 2021-09-03 2024-04-23 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers
KR20240008262A (ko) * 2022-07-11 2024-01-18 어플라이드 머티어리얼스, 인코포레이티드 GAA CMOS 기술을 위한 스트레인 SiGe 채널 PMOS의 통합
KR20240015016A (ko) * 2022-07-26 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스들을 형성하기 위한 프로세싱 방법들및 클러스터 툴들

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120235249A1 (en) * 2011-03-16 2012-09-20 Globalfoundries Inc. Reducing defect rate during deposition of a channel semiconductor alloy into an in situ recessed active region
US20130273709A1 (en) * 2012-04-12 2013-10-17 Globalfoundries Inc. Methods of recessing an active region and sti structures in a common etch process
TW201630184A (zh) * 2015-02-12 2016-08-16 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TW201724278A (zh) * 2015-11-30 2017-07-01 台灣積體電路製造股份有限公司 多閘極元件
TW201735268A (zh) * 2015-12-30 2017-10-01 台灣積體電路製造股份有限公司 多閘極裝置的製造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134090A (en) * 1982-06-18 1992-07-28 At&T Bell Laboratories Method of fabricating patterned epitaxial silicon films utilizing molecular beam epitaxy
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
JP4166885B2 (ja) * 1998-05-18 2008-10-15 富士通株式会社 光半導体装置およびその製造方法
JP2002246310A (ja) * 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
EP1781425A2 (en) * 2004-07-09 2007-05-09 Akrion Llc Reduced pressure irradiation processing method and apparatus
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070163498A1 (en) * 2006-01-13 2007-07-19 Randall Clark Gas dispersion shield and method
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
US9054206B2 (en) * 2007-08-17 2015-06-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP5058909B2 (ja) * 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
US8030655B2 (en) * 2007-12-03 2011-10-04 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor, display device having thin film transistor
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
JP5586314B2 (ja) * 2010-04-23 2014-09-10 芝浦メカトロニクス株式会社 半導体装置の製造装置及び半導体装置の製造方法
WO2013055586A1 (en) * 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093465B2 (en) * 2013-12-11 2015-07-28 United Microelectronics Corp. Method of fabricating semiconductor device
US9368378B2 (en) * 2013-12-31 2016-06-14 Sophia Wen Semiconductor wafer cleaning system
JP6132791B2 (ja) * 2014-03-12 2017-05-24 東京エレクトロン株式会社 半導体デバイスの製造方法及び製造装置
JP6856651B2 (ja) * 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
KR102429611B1 (ko) * 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
EP3523825A4 (en) * 2016-10-10 2020-09-09 Monolithic 3D Inc. THREE-DIMENSIONAL SEMICONDUCTOR DEVICE AND STRUCTURE
KR102663833B1 (ko) * 2017-02-10 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
WO2019046453A1 (en) * 2017-08-30 2019-03-07 Applied Materials, Inc. REMOVAL OF HIGH TEMPERATURE CONTAMINANTS FROM AN INTEGRATED EPITAXIS SYSTEM
US10748901B2 (en) * 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
US11011426B2 (en) * 2018-11-21 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10985259B2 (en) * 2018-12-07 2021-04-20 Gan Systems Inc. GaN HEMT device structure and method of fabrication

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120235249A1 (en) * 2011-03-16 2012-09-20 Globalfoundries Inc. Reducing defect rate during deposition of a channel semiconductor alloy into an in situ recessed active region
US20130273709A1 (en) * 2012-04-12 2013-10-17 Globalfoundries Inc. Methods of recessing an active region and sti structures in a common etch process
TW201630184A (zh) * 2015-02-12 2016-08-16 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TW201724278A (zh) * 2015-11-30 2017-07-01 台灣積體電路製造股份有限公司 多閘極元件
TW201735268A (zh) * 2015-12-30 2017-10-01 台灣積體電路製造股份有限公司 多閘極裝置的製造方法

Also Published As

Publication number Publication date
KR102293601B1 (ko) 2021-08-25
CN111180359A (zh) 2020-05-19
US20200152493A1 (en) 2020-05-14
KR20200055663A (ko) 2020-05-21
US10861722B2 (en) 2020-12-08
TW202107561A (zh) 2021-02-16

Similar Documents

Publication Publication Date Title
TWI814938B (zh) 整合半導體處理
US11637037B2 (en) Method to create air gaps
US10428441B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
KR102663833B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
TWI804693B (zh) 用於隔離結構的伸縮襯裡層
US20170084449A1 (en) Apparatus and method for selective deposition
US11114306B2 (en) Methods for depositing dielectric material
US10128337B2 (en) Methods for forming fin structures with desired profile for 3D structure semiconductor applications
US20240018647A1 (en) Oxidation barriers with cvd soak processes
US20230377997A1 (en) Contact formation process for cmos devices
US20240136229A1 (en) Channel uniformity horizontal gate all around device
US20240014076A1 (en) Selective capping of contact layer for cmos devices
US20240014075A1 (en) Contact formation process for cmos devices
TW202418374A (zh) 用於cmos元件的接觸層之選擇性覆蓋
TW202418476A (zh) 用於cmos元件之觸點形成製程
TW202418355A (zh) Cvd浸泡製程的氧化阻障層
JP2003142472A (ja) 半導体装置の製造方法
TW202322192A (zh) 基板處理方法及基板處理系統
KR20240069818A (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치