KR102619574B1 - 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치 - Google Patents

딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치 Download PDF

Info

Publication number
KR102619574B1
KR102619574B1 KR1020197026383A KR20197026383A KR102619574B1 KR 102619574 B1 KR102619574 B1 KR 102619574B1 KR 1020197026383 A KR1020197026383 A KR 1020197026383A KR 20197026383 A KR20197026383 A KR 20197026383A KR 102619574 B1 KR102619574 B1 KR 102619574B1
Authority
KR
South Korea
Prior art keywords
chamber
coupled
plasma
substrate
processing
Prior art date
Application number
KR1020197026383A
Other languages
English (en)
Other versions
KR20190108176A (ko
Inventor
아비섹 두베
쑤에빈 리
화 청
플로라 퐁-송 창
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237044849A priority Critical patent/KR102663833B1/ko
Priority to KR1020227037210A priority patent/KR102511483B1/ko
Publication of KR20190108176A publication Critical patent/KR20190108176A/ko
Application granted granted Critical
Publication of KR102619574B1 publication Critical patent/KR102619574B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 개시내용은 일반적으로, 반도체 디바이스 상에 에피택셜 층을 형성하기 위한 클러스터 툴 및 방법들에 관한 것이다. 일 구현에서, 클러스터 툴은, 이송 챔버; 이송 챔버에 커플링된 사전-세정 챔버; 이송 챔버에 커플링된 플라즈마-세정 챔버; 이송 챔버에 커플링된 증착 챔버; 이송 챔버에 커플링된 에칭 챔버; 및 이송 챔버에 커플링된 열 프로세스 챔버를 포함한다.

Description

딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
[0001] 본 개시내용의 구현들은 일반적으로, 반도체 제조 프로세스들의 분야에 관한 것으로, 더 구체적으로, 반도체 디바이스들을 형성하기 위해 실리콘-함유 막들을 증착하는 클러스터 툴(cluster tool) 및 방법들에 관한 것이다.
[0002] 저온 에피택시(epitaxy)는 매우 높은 정도의 도펀트 활성화를 갖는 에피택셜(epitaxial) 막들을 생성하는 확실한 이점을 갖는다. 높은 도펀트 활성화를 갖는 에피택셜 막들은, MOL(middle-of-line) 제작 프로세스에서 고-K 금속 게이트 스택(stack)을 보존하기 위해 서멀 버짓(thermal budget)이 특정 온도, 이를테면 섭씨 450도 이하일 필요가 있는, CMOS 제조 프로세스에서의 콘택(contact) 애플리케이션들에 유용할 수 있다.
[0003] nMOS 트랜지스터들의 접촉 저항의 대폭 감소를 가능하게 하기 위해, 인-함유 실리콘 층을 성장시키기 위한 저온 에피택시를 통합할 필요성이 본 기술분야에 존재한다.
[0004] 본 개시내용은 일반적으로, 반도체 디바이스 상에 에피택셜 층을 형성하기 위한 클러스터 툴 및 방법들에 관한 것이다. 일 구현에서, 클러스터 툴은, 이송 챔버; 이송 챔버에 커플링된 사전-세정 챔버; 이송 챔버에 커플링된 플라즈마-세정 챔버; 이송 챔버에 커플링된 증착 챔버; 이송 챔버에 커플링된 에칭 챔버; 및 이송 챔버에 커플링된 열 프로세스 챔버를 포함한다.
[0005] 다른 구현에서, 클러스터 툴은, 로드-락 챔버에 커플링된 이송 챔버; 이송 챔버에 커플링된 제1 세정 챔버 ― 제1 세정 챔버는 용량성 커플링 플라즈마 소스, 및 바이어스 RF 전력 공급부에 커플링된 기판 지지부를 포함함 ―; 이송 챔버에 커플링된 제2 세정 챔버 ― 제2 세정 챔버는 유도성 커플링 플라즈마 소스를 포함함 ―; 이송 챔버에 커플링된 에피택셜 증착 챔버 ― 에피택시 챔버는 액체 전구체 소스와 유체 연통하는 액체 기화기를 포함함 ―; 이송 챔버에 커플링된 에칭 챔버; 및 이송 챔버에 커플링된 열 프로세스 챔버를 포함한다.
[0006] 앞서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 구현들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 구현들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0007] 도 1은 본 개시내용의 일 구현에 따른, 에피택셜 층을 형성하는 방법을 예시하는 흐름도이다.
[0008] 도 2는 도 1에서 발견되는 세정 프로세스를 수행하기 위해 사용될 수 있는 프로세싱 챔버의 단면도이다.
[0009] 도 3은 도 1에서 발견되는 세정 프로세스를 수행하기 위해 사용될 수 있는 플라즈마-세정 챔버의 단면도이다.
[0010] 도 4는 도 1에서 발견되는 에피택셜 프로세스를 수행하기 위해 사용될 수 있는 열 프로세싱 챔버의 단면도이다.
[0011] 도 5는 도 1에서 발견되는 에칭 프로세스들을 수행하기 위해 사용될 수 있는 ICP 플라즈마 챔버의 단면도이다.
[0012] 도 6은 기판들의 온도-제어식 프로세싱을 위해 사용될 수 있는 프로세싱 시스템의 개략적인 단면도이다.
[0013] 도 7은 도 1의 흐름도를 완료하기 위해 사용될 수 있는 프로세싱 시스템의 개략적인 평면도이다.
[0014] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 도시된 것이 아니고, 명확성을 위해 간략화될 수 있다. 일 구현의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
[0015] 도 1은 본 개시내용의 일 구현에 따른, 에피택셜 층을 형성하는 방법을 예시하는 흐름도(100)이다. 방법은 기판이 사전-세정되는 블록(102)에서 시작된다. 기판은 자연 산화물(native oxide)들을 갖는 웨이퍼 또는 임의의 물체일 수 있다. 기판은 단결정질 표면들 및/또는 하나의 이차 표면을 포함할 수 있으며, 그 이차 표면은 비-단결정질, 이를테면 다결정질 또는 비정질 표면들이다. 단결정질 표면들은, 재료, 이를테면, 실리콘, 게르마늄, 실리콘 게르마늄 또는 실리콘 탄소로 일반적으로 제조되는 증착된 단결정 층 또는 베어(bare) 결정질 기판을 포함할 수 있다. 다결정질 또는 비정질 표면들은, 유전체 재료들, 이를테면 산화물들 또는 질화물들, 구체적으로는 실리콘 산화물 또는 실리콘 질화물, 뿐만 아니라 비정질 실리콘 표면들을 포함할 수 있다.
[0016] 기판을 크게 손상시키지 않으면서 기판으로부터 산화물들을 제거하는 임의의 적합한 세정 프로세스가 사용될 수 있다. 적합한 세정 프로세스들은 스퍼터 에칭 프로세스들, 플라즈마-기반 산화물 에칭 프로세스들, 습식 에칭 프로세스들, 또는 이들의 조합들을 포함한다. 예시적인 플라즈마-기반 산화물 에칭 프로세스들은 NF3/NH3 유도성 커플링 플라즈마 프로세스들 또는 NF3/NH3 용량성 커플링 플라즈마 프로세스들을 포함한다. 일 구현에서, 플라즈마-기반 산화물 에칭 프로세스는, NF3 및 NH3 플라즈마 부산물들에 대한 기판의 동시 노출을 수반하는 원격 플라즈마 보조 건식 에칭 프로세스이다. 일 예에서, 플라즈마-기반 산화물 에칭 프로세스는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 SiCoNiTM 에칭 프로세스와 유사할 수 있거나 또는 SiCoNiTM 에칭 프로세스를 포함할 수 있다. SiCoNiTM 에칭 프로세스는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 SiCoNiTM Preclean 챔버에서 수행될 수 있다. 하나의 예시적인 SiCoNiTM Preclean 챔버가 도 2에 도시되며, 아래에서 논의될 것이다.
[0017] 원격 플라즈마를 사용하는 일부 구현들에서, 가스 종의 여기는 무-플라즈마-손상(plasma-damage-free) 기판 프로세싱을 가능하게 한다. 원격 플라즈마 에칭은 실리콘 산화물 층들에 대해 대체로 등각적(conformal)이고 선택적일 수 있고, 그에 따라, 실리콘이 비정질인지, 결정질인지, 또는 다결정질인지와 무관하게, 실리콘을 쉽게 에칭하지 못한다. 원격 플라즈마 프로세스는 일반적으로, 기판 재료가 제거됨에 따라 기판의 표면 상에 성장되는 고체 부산물들을 생성할 것이다. 고체 부산물들은, 기판의 온도가 상승될 때(예컨대, 300 ℃), 승화를 통해 후속하여 제거될 수 있다. 플라즈마 에칭 프로세스는 실리콘-수소(Si--H) 결합들을 상부에 갖는 기판 표면을 발생시킨다.
[0018] 일부 구현들에서, 세정 프로세스는 원격 플라즈마 소스를 사용하는 프로세싱 챔버에서 수행될 수 있다. 예컨대, 프로세싱 챔버는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 AKTIV Pre-CleanTM 챔버일 수 있다. ICP 소스를 사용하는 하나의 예시적인 에칭 챔버가 도 3에 도시되며, 아래에서 논의될 것이다.
[0019] 일부 구현들에서, 세정 프로세스는 유도성 커플링 플라즈마(ICP) 소스를 사용하는 에칭 챔버에서 수행될 수 있다. 예컨대, 에칭 챔버는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 Centura® AdvantedgeTM MesaTM Etch 챔버일 수 있다. 대안적으로, 세정 프로세스는 라디칼-기반 케미스트리(chemistry)를 이용하는 에칭 챔버에서 수행될 수 있다. ICP 소스를 사용하는 하나의 예시적인 에칭 챔버가 아래에서 도 5에 도시된다.
[0020] 원하는 경우, 블록(102)은 부가적인 준비 단계들을 포함할 수 있다. 예컨대, 블록(102)은, 표면을 더 세정하기 위해 기판을 사전-베이킹(pre-bake)하는 것을 포함할 수 있다. 사전-베이킹은 약 330 ℃의 온도로 수소의 존재 하에 수행될 수 있다. 그러한 경우에서, 사전-베이킹은 에피택시 챔버, 이를테면 아래에서 도 4에 도시된 에피택시 챔버에서 이루어질 수 있다. 사전-베이킹은 기판의 온도를 약 330 ℃까지 상승시키는 것을 포함할 수 있다. 일부 구현들에서, 블록(102)은, 기판의 HF 세정을 포함하며, 그 HF 세정은 실리콘(단결정질) 표면들 상의 -H 종결들 및 산화물(유전체) 표면들 상의 -OH 종결을 발생시킬 수 있다.
[0021] 블록(104)에서, 기판은, 예컨대, 실리콘-함유 층의 에피택셜 증착을 위한 목표 온도로, 가스 상 에피택시 챔버에서, 프로세싱 시약에 노출된다. 사용될 수 있는 예시적인 에피택시 챔버는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 Centura® RP EPI 챔버이다. 하나의 예시적인 에피택시 챔버가 아래에서 도 4에 도시된다. 다른 제조자들로부터 입수가능한 챔버들을 포함하는 다른 챔버들이 에피택셜 증착을 실시하기 위해 사용될 수 있다는 것이 고려된다.
[0022] 에피택셜 증착을 위한 목표 온도는 약 250 ℃ 내지 약 600 ℃, 이를테면 약 300 ℃ 내지 약 500 ℃, 예컨대 약 350 ℃ 내지 약 400 ℃일 수 있다. 에피택시 챔버 내의 압력은 비교적 낮게 유지되는데, 예컨대 약 50 Torr 미만, 이를테면 약 10 Torr 내지 약 40 Torr로 유지된다. 프로세싱 시약은 하나 이상의 증착 가스들 및 적어도 하나의 도펀트 가스를 포함할 수 있다. 증착 가스는, III족 전구체 가스, V족 전구체 가스, VI족 전구체 가스, 또는 IV족 전구체 가스로부터 선택되는 하나 이상의 전구체 가스들을 포함할 수 있다. 실리콘-함유 에피택셜 층이 형성되는 경우들에서, 증착 가스는 적어도 실리콘 소스를 함유할 수 있다. 예시적인 실리콘 소스들은 실란들, 할로겐화 실란들, 실리콘 테트라클로라이드(SiCl4), 또는 이들의 임의의 조합들을 포함할 수 있다(그러나 이에 제한되지는 않음). 실란들은 실란(SiH4), 및 실험식 SixH(2x+2)를 갖는 고차 실란들, 이를테면, 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10), 펜타실란(Si5H12) 또는 헥사실란(Si6H14)을 포함할 수 있다. 다른 고차 실란들, 이를테면, SinH2n(n은 3 이상의 자연수임)으로서 표현되는 실리콘 수소화물, 예컨대, 시클로트리실란(Si3H6), 시클로테트라실란(Si4H8), 시클로펜타실란(Si6H10), 시클로헥사실란(Si6H12) 또는 시클로헵타실란(Si7H14)이 또한 사용될 수 있다. 할로겐화 실란들은 모노클로로실란(MCS), 디클로로실란(DCS), 트리클로로실란(TCS), 헥사클로로디실란(HCDS), 옥타클로로트리실란(OCTS), 실리콘 테트라클로라이드(STC), 또는 이들의 조합을 포함할 수 있다. 일부 구현들에서, 실란들은, 선택성을 가능하게 하기 위해 -F, Cl, Br, 또는 I가 부착된 형태로 다양한 할로겐화 정도들을 갖는 고차 실란들, 예컨대 Si2H4Cl2 또는 Si3H5Cl3 등을 포함할 수 있다.
[0023] 하나의 예시적인 구현에서, 실리콘 소스는 테트라실란을 포함한다. 다른 예시적인 구현에서, 실리콘 소스는 디실란을 포함한다. 또 다른 예시적인 구현에서, 실리콘 소스는 테트라실란 및 디실란을 포함한다.
[0024] 도펀트 가스는, 증착되는 에피택셜 층의 원하는 전도성 특성에 따라, 인, 붕소, 비소, 갈륨, 또는 알루미늄을 포함할 수 있다(그러나 이에 제한되지는 않음). 증착 가스는 적어도 하나의 이차 원소 소스, 이를테면 게르마늄 소스 또는 탄소 소스를 선택적으로 함유할 수 있다. 애플리케이션에 따라, 다른 원소들, 이를테면, 금속들, 할로겐들, 또는 수소가 실리콘-함유 층 내에 혼입될 수 있다. 하나의 예시적인 구현에서, 실리콘-함유 에피택셜 층은 인 도핑 실리콘(Si:P)이며, 그 인 도핑 실리콘(Si:P)은 도펀트, 이를테면 포스핀(PH3), 인 트리클로라이드(PCl3), 인 트리브로마이드(PBr3), 및 포스판들, 이를테면 트리부틸 포스페이트(TBP)를 사용하여 획득될 수 있다.
[0025] 프로세싱 시약들은 선택적으로, 캐리어 가스를 포함할 수 있다. 캐리어 가스는 에피택셜 프로세스 동안의 프로세스 온도 및/또는 사용되는 전구체(들)에 기초하여 선택될 수 있다. 적합한 캐리어 가스들은 질소, 수소, 아르곤, 헬륨, 또는 에피택셜 프로세스에 대하여 불활성인 다른 가스들을 포함한다. 질소는 저온(예컨대, < 600 ℃) 프로세스들을 특징으로 하는 구현들에서 캐리어 가스로서 활용될 수 있다. 캐리어 가스는 약 1 SLM(standard liters per minute) 내지 약 100 SLM, 이를테면 약 3 SLM 내지 약 30 SLM의 유량을 가질 수 있다.
[0026] 아래의 표 1은, 주어진 프로세스 조건들 하에서, 상이한 실리콘 소스들(테트라실란 대 디실란)을 사용하여 형성된 인-함유 에피택셜 층(Si:P)의 선택적인 성장 레이트를 예시한다. 표 1에 나타낸 모든 예들에서, 테트라실란은 약 11 sccm의 유량으로 제공되었고, 디실란은 약 90 sccm의 유량으로 제공되었다. 포스핀은 약 1000 sccm(테트라실란) 및 약 300 sccm(디실란)의 유량으로 제공되었다. 캐리어 가스로서 역할을 하는 수소(미도시)는 약 8000 sccm의 유량으로 제공되었다. 모든 예들에서, 프로세싱 챔버는, 약 40 Torr의 챔버 압력으로, 약 400 ℃ 내지 약 500 ℃로 가열되어 유지되었다. 본원에서 그리고 본 개시내용의 전체에 걸쳐 설명되는 프로세스 조건들은 300 mm 직경 기판에 기초한다. 아래의 표 1에서 볼 수 있는 바와 같이, 500 ℃에서 테트라실란을 사용하는 실리콘 소스는, 테트라실란이 디실란보다 훨씬 더 적은 양으로 유동됨에도 불구하고, 디실란을 사용하는 실리콘 소스보다 우세한 성장 레이트를 제공한다.
[0027] 실리콘 소스로서 디실란이 사용되는 일부 구현들에서, 프로세싱 시약은 할로겐 전구체를 더 포함할 수 있다. 예시적인 할로겐 전구체들은, 수소 클로라이드 또는 염소 가스와 같은 할로겐 분자들을 함유하는 전구체들일 수 있다. 할로겐 전구체는 에피택셜 프로세스 동안 증착 가스와 동시에 또는 함께 유동될 수 있다(즉, 공동-유동 모드). 그러한 경우에서, 증착 가스 및 할로겐 전구체는 에피택시 챔버 내로 개별적으로 유동될 수 있다. 증착 가스 및 할로겐 전구체는, 에피택시 챔버 내로 유동하기 전에, 사전-혼합되어 가스 혼합물로서 형성될 수 있다. 어느 경우이든, 에피택시 챔버에서의 증착 가스와 할로겐 전구체의 유동 비율은 약 1:1.5 내지 약 1:3, 예컨대 약 1:2일 수 있다. 본원에서 설명되는 유동 비율을 사용하여, 본원에서 언급되는 디실란 및 염소 가스가 임의의 다른 실리콘 소스 및 할로겐 전구체로 대체될 수 있다는 것이 고려된다.
[0028] 블록(106)에서, 원하는 두께의 실리콘-함유 에피택셜 층이 기판 상에 형성되었으면, 프로세싱 시약의 유동이 중단되고, 임의의 반응 잔여물들 및/또는 원하지 않는 가스들이 에피택시 챔버 밖으로 펌핑된다. 블록(106) 동안, 에피택시 챔버 내의 압력은 약 1 Torr 내지 약 30 Torr, 이를테면 약 1.5 Torr 내지 약 15 Torr로 유지된다. 에피택시 챔버를 요구되는 챔버 압력으로 유지하면서, 프로세싱 시약 및 잔여물들이 에피택시 챔버로부터 펌핑될 수 있게 하기 위해, 퍼징 가스, 이를테면 수소 또는 아르곤이 에피택시 챔버 내로 도입될 수 있다. 퍼징 시간은 약 5초 내지 약 45초, 예컨대 약 15초 내지 약 20초에서 변할 수 있다.
[0029] 블록(108)에서, 퍼징 가스의 유동이 중단되고, 그리고 기판의 유전체 표면들로부터 비정질 재료, 예컨대 비정질 실리콘(a-Si)을 선택적으로 제거하기 위해, 기판이 에칭 가스에 노출된다. 에칭 프로세스는 에칭 챔버, 이를테면 도 3 또는 도 5에 도시된 에칭 챔버에서 수행될 수 있다.
[0030] 에칭 가스는 적어도 하나의 에천트 및 캐리어 가스를 포함할 수 있다. 에천트는 할로겐-함유 에천트일 수 있다. 예시적인 에천트는 수소 클로라이드(HCl), 게르마늄 수소화물(GeH4), 염소(Cl2), 붕소 트리클로라이드(BCl3), 인 트리클로라이드(PCl3), 또는 이들의 임의의 조합들을 포함할 수 있다(그러나 이에 제한되지는 않음). 고차 게르만들, 이를테면 디게르만(Ge2H6) 또는 트리게르만(Ge3H8), 또는 염화 게르만 가스, 이를테면 게르마늄 테트라클로라이드(GeCl4), 디클로로게르만(GeH2Cl2), 트리클로로게르만(GeHCl3), 헥사클로로디게르만(Ge2Cl6), 또는 이들 중 임의의 2개 이상의 조합이 또한 사용될 수 있다. 일 구현에서, 에천트는 HCl 및 GeH4를 포함한다. 다른 구현에서, 에천트는 HCl 및 PCl3를 포함한다. 또 다른 구현에서, 에천트는 Cl2 및 PCl3를 포함한다. 또 하나의 다른 구현에서, 에천트는 HCl, GeH4, 및 PCl3를 포함한다. 임의의 적합한 할로겐화 게르마늄 화합물들이 또한 사용될 수 있다.
[0031] 캐리어 가스는 수소, 질소, 아르곤, 헬륨, 및 이들의 임의의 조합들을 포함할 수 있다. 캐리어 가스는 특정 에천트(들)에 기초하여 선택될 수 있다. 하나의 예시적인 구현에서, 에천트는 HCl 및 GeH4를 포함한다. 다른 구현에서, 에천트는 Cl2 및 GeH4를 포함한다. 에칭 동안 HCl 및 GeH4가 사용되는 경우들에서, HCl 및 GeH4의 유동은, 약 1:3 내지 약 1:7, 예컨대 약 1:5의 GeH4/HCl 비율로, 에피택시 챔버 내로 도입될 수 있다. 하나의 예시적인 예에서, GeH4는 약 60 sccm의 유량으로 도입되고, HCl은 300 sccm으로 도입되며, 캐리어 가스(N2)는 약 3 SLM의 유량으로 도입된다.
[0032] 에칭 시간은 약 250초 내지 약 850초, 예컨대 약 300초 내지 약 800초, 예컨대 약 360초 내지 약 480초일 수 있다. 에칭-백 동안, 에칭 온도는 약 600 ℃ 이하, 예컨대 500 ℃ 이하, 이를테면 약 200 ℃ 내지 약 400 ℃일 수 있다. 에칭 동안의 챔버 압력은 약 80 Torr 내지 약 300 Torr, 이를테면 약 100 Torr 내지 약 200 Torr로 유지될 수 있다. 에칭-백 프로세스는 에피택시 챔버에서 수행될 수 있다. 본원에서 설명되는 프로세스 조건들이 유전체 표면들 상의 모든 비정질 실리콘 성장을 제거하면서 에피택셜 층 에칭을 최소화할 수 있다는 것이 관찰되었다. 특히, HCl에 GeH4 또는 고차 게르만들을 첨가하는 것은 500 ℃ 이하의 더 낮은 온도들에서 원하는 선택비로 충분한 에칭을 제공하며, 이는 에칭을 위해 HCl만이 사용된 경우 과거에는 어려운 일이었다.
[0033] 에피택셜 두께에 따라, 본원에서 논의되는 에천트들을 사용하여, 낮은 에칭 온도들에서, 30:1 이상, 이를테면 50:1 또는 심지어 80:1의 비정질 실리콘/결정질 에피택셜 층 에칭 선택비가 획득될 수 있다는 것이 관찰되었다. 따라서, 에피택셜 증착 후에 수행되는 에칭-백 프로세스로 인해, 반도체 표면 상의 에피택셜 층과 비교하여 유전체 표면 상에 훨씬 더 얇은 비정질 실리콘 층이 결과로 발생된다.
[0034] 블록(110)에서, 에천트, 이를테면 GeH4의 유동이 중단될 수 있고, 그리고 기판으로부터 GeH4 및 다른 반응 잔여물들/부산물들을 제거하기 위해, HCl이 계속 유동될 수 있다. HCl의 유동은 약 5초 내지 약 20초, 예컨대 약 10초 동안 계속될 수 있다.
[0035] 블록(110) 후에, 기판은 하류 프로세싱, 이를테면, 열 어닐링, 열 세정, 열 화학 기상 증착, 열 산화, 또는 열 질화를 거칠 수 있으며, 이러한 하류 프로세싱은 온도 제어식 프로세싱 챔버, 이를테면 도 6에 도시된 온도 제어식 프로세싱 챔버에서 수행될 수 있다. 대안적으로, 기판의 목표 표면들 상에 미리 결정된 두께(예컨대, 5 nm 내지 10 nm)의 Si:P 에피택셜 막이 형성될 때까지, 방법(100)의 하나 이상의 단계들이 반복될 수 있다. 예컨대, 기판 상의 콘택 트렌치들이 고 종횡비(10:1 이상)를 갖는 경우, 바닥 트렌치에서 또는 바닥 트렌치 근처에서 유전체 표면들로부터의 원하지 않는 막들의 에칭을 최대화하기 위해, 블록들(104, 106, 108, 및 110)이 2 내지 5 사이클 동안 반복될 수 있다.
[0036] 본 개시내용의 구현들에서 설명되는 개념이 또한, 다른 재료들을 형성하기 위한 에피택시 프로세스에 적용가능하다는 것이 유의되어야 한다. 일부 가능한 예들은, 로직 및 메모리 애플리케이션들에서 사용될 수 있는, 비도핑 실리콘, SiGe/SiGe:B, Si:CP, 순수 Ge, GeSn, GeP, GeB, 또는 GeSnB 등을 포함할 수 있다. 그러한 경우들에서, 가능한 실리콘 전구체들 또는 도펀트 가스들은 위에서 설명된 것들과 동일할 수 있으며, 가능한 게르마늄 전구체들은 GeH4, Ge2H6, 또는 할로겐화 게르마늄, 이를테면 GeCl4, GeHCl3, Ge2Cl6, Ge3Cl8 등을 포함할 수 있다(그러나 이에 제한되지는 않음).
[0037] 도 2는 블록(102)에서 발견되는 세정 프로세스를 수행하기 위해 사용될 수 있는 프로세싱 챔버(200)의 단면도이다. 프로세싱 챔버(200)는 열 또는 플라즈마-기반 산화 프로세스 및/또는 플라즈마 보조 건식 에칭 프로세스를 수행하는 데 특히 유용할 수 있다. 프로세싱 챔버(200)는 챔버 바디(212), 덮개 조립체(214), 및 지지 조립체(216)를 포함한다. 덮개 조립체(214)는 챔버 바디(212)의 상부 단부에 배치되며, 지지 조립체(216)는 챔버 바디(212) 내에 적어도 부분적으로 배치된다. 진공 시스템이 프로세싱 챔버(200)로부터 가스들을 제거하기 위해 사용될 수 있다. 진공 시스템은 챔버 바디(212)에 배치된 진공 포트(221)에 커플링된 진공 펌프(218)를 포함한다. 프로세싱 챔버(200)는 또한, 프로세싱 챔버(200) 내의 프로세스들을 제어하기 위한 제어기(202)를 포함한다.
[0038] 덮개 조립체(214)는 적어도 2개의 스택킹된 컴포넌트들을 포함하며, 적어도 2개의 스택킹된 컴포넌트들은 그 적어도 2개의 스택킹된 컴포넌트들 사이에 플라즈마 볼륨 또는 공동을 형성하도록 구성된다. 제1 전극(220)이 제2 전극(222) 수직 위에 배치되어, 제1 전극(220)과 제2 전극(222) 사이에 플라즈마 볼륨을 한정한다. 제1 전극(220)은 전력 소스(224), 이를테면 무선 주파수(RF) 전력 공급부에 연결되고, 제2 전극(222)은 접지 또는 소스 리턴에 연결되어, 제1 전극(220)과 제2 전극(222) 사이에 캐패시턴스를 형성한다. 덮개 조립체(214)는 또한, 블로커 플레이트(228) 및 가스 분배 플레이트(230), 이를테면 샤워헤드를 통해 기판 표면에 세정 가스를 제공하기 위한 하나 이상의 가스 유입구들(226)을 포함한다. 세정 가스는 에천트 또는 이온화된 활성 라디칼, 이를테면 이온화된 불소, 염소 또는 암모니아, 또는 산화제, 이를테면 오존일 수 있다.
[0039] 대안적으로, 기판 표면을 세정하기 위해, 상이한 세정 프로세스가 활용될 수 있다. 예컨대, He 및 NF3를 함유하는 원격 플라즈마가 가스 분배 플레이트(230)를 통해 프로세싱 챔버(200) 내에 도입될 수 있는 한편, NH3가 챔버 바디(212)의 측면에 배치된 별개의 가스 유입구(225)를 통해 프로세싱 챔버(200) 내에 직접적으로 주입될 수 있다.
[0040] 지지 조립체(216)는 프로세싱 동안 기판(210)을 상부에 지지하기 위한 기판 지지부(232)를 포함할 수 있다. 기판 지지부(232)는 프로세싱될 기판을 상부에 지지하기 위한 평탄한 기판 지지 표면을 갖는다. 기판 지지부(232)는 챔버 바디(212)의 바닥에 형성된 중앙-위치 개구를 통해 연장되는 샤프트(236)에 의해 액추에이터(234)에 커플링될 수 있다. 액추에이터(234)는 샤프트(236) 주위로부터의 진공 누설을 방지하는 벨로즈(미도시)에 의해 챔버 바디(212)에 가요성으로(flexibly) 밀봉될 수 있다. 액추에이터(234)는 기판 지지부(232)가 챔버 바디(212) 내에서 프로세싱 포지션과 하부의 이송 포지션 사이에 수직으로 이동될 수 있게 한다. 이송 포지션은 챔버 바디(212)의 측벽에 형성된 슬릿 밸브의 개구보다 약간 아래에 있다. 동작 시에, 기판 지지부(232)는 프로세싱되는 기판(210)의 온도를 제어하기 위해, 덮개 조립체(214)에 매우 근접한 포지션으로 상승될 수 있다. 따라서, 기판(210)은 분배 플레이트(230)로부터 방출되는 복사선(radiation) 또는 분배 플레이트(230)로부터의 대류를 통해 가열될 수 있다.
[0041] 바이어스 RF 전력 공급부(280)가 정합 네트워크(284)를 통하여 케이블(282)을 통해 기판 지지부(232)에 커플링될 수 있다. 바이어스 RF 전력 공급부(280)는 이온화된 세정 가스를 기판(210) 쪽으로 지향시키기 위해 기판(210)에 바이어스를 제공한다.
[0042] 도 3은 블록(102)에서 발견되는 세정 프로세스를 수행하기 위해 사용될 수 있는 플라즈마-세정 챔버(300)의 단면도이다. 프로세싱 챔버(300)는 챔버 바디(310)를 가지며, 그 챔버 바디(310)는 챔버 어댑터(316), 어댑터(318), 및 덮개(340)를 포함한다. 챔버 어댑터(316) 및 덮개(340)는 알루미늄, 스테인리스 강, 또는 다른 적합한 재료들로 제작될 수 있다. 덮개(340)는 챔버 어댑터(316)에 제거가능하게 커플링되어, 그 내부에 프로세스 구역(330)을 정의한다.
[0043] 가열기(또는 페데스탈(pedestal))(314)가 챔버 바디(310)의 프로세스 구역(330)에 배치된다. 가열기(314)는 중앙 샤프트를 통해 챔버 어댑터(316)의 바닥에 커플링된다. 가열기(314)는, 프로세스, 이를테면, 박스(104)에 대하여 위에서 설명된 기판의 표면의 세정 동안, 기판(308)을 상부에 지지하기 위한 기판 지지 표면을 갖는다. 가열기(314)는 사파이어 콘택을 갖는 베어 알루미늄으로 제작될 수 있다. 가열기(314)는 로딩 포지션과 프로세싱 포지션 사이에서 수직으로 이동하도록 작동된다. 가열기(314)는 기판(308)에 온도를 제공함으로써 프로세스 동안 기판을 가열 또는 냉각시키기 위해 활용될 수 있다. 일부 구현들에서, 가열기(314)는, 가열기(314)가 로딩 포지션까지 아래로 하강될 때, 기판의 에지로부터 기판(308)을 지지하고 들어올리기 위한 링-형 기판 지지부(미도시)를 사용할 수 있다. 프로세스 동안, 가열기(314)는 프로세싱 포지션까지 위로 상승되는데, 그 가열기(314)는 기판(308)을 픽업(pick up)하고, 기판(308)의 프로세싱을 위한 원하는 높이에서 가열기(314)의 기판 지지 표면으로 기판(308)을 지지한다.
[0044] 일부 구현들에서, 가열기(314)는 그 가열기(314)의 외측 주변부 상에 배치된 선택적인 포커스 링(focus ring)(338)을 지지할 수 있다. 포커스 링(338)은 프로세싱 동안 기판(308)을 에워싼다. 일 예에서, 포커스 링(338)은 석영으로 제작된다.
[0045] 어댑터(318)는 덮개(340)와 챔버 어댑터(316) 사이에 배치되고, 가스 분배 플레이트(326)를 상부에 지지한다. 가스 분배 플레이트(326)는 석영 샤워헤드일 수 있다. 가스 분배 플레이트(326)와 덮개(340) 사이에 플리넘(plenum)(348)이 정의된다. 가스 분배 플레이트(326)는, 덮개(340)에 형성된 포트(342)를 통해 플리넘(348) 내로 유동하는 가스들이, 프로세스 구역(330)에 배치된 기판(308)에 걸쳐 분배될 수 있게 하기 위해, 복수의 애퍼처(aperture)들(327)을 포함한다.
[0046] 개구(315)가 챔버 어댑터(316)의 바닥에 형성되고, 펌프(317)에 연결된다. 펌프(317)는 약 1 mTorr 내지 약 500 Torr로 챔버 바디(310) 내부의 압력을 제어하기 위해 사용될 수 있다. 예컨대, 펌프(317)는, 약 10 mTorr 내지 약 500 mTorr의 예시적인 압력 범위로 챔버 바디(310) 내부의 압력을 유지하는 저압 펌프일 수 있다. 펌프(317)는 또한, 약 20 Torr 내지 300 Torr의 예시적인 압력 범위로 챔버 바디(310) 내부의 압력을 유지하는 터보 펌프일 수 있다.
[0047] 원격 플라즈마 소스(350)가 통로(360)에 의해 포트(342)에 커플링된다. 통로(360)는 도관(356)을 정의하며, 그 도관(356)을 통해, 원격 플라즈마 소스(350)에서 생성된 반응성 라디칼들이 프로세스 구역(330)에 진입하기 전에 필터링된다. 그 원격 플라즈마 소스(350)로부터 생성된 반응성 라디칼들은 이온들, 하전 종, 및 다른 반응성 종을 포함할 수 있다. 일 구현에서, 도관(356)을 통해 유동하는 가스들은 통로(360) 근방에 배치된 하나 이상의 자석들에 의해 생성되는 자기장에 의해 필터링된다. 자석들은, 원격 플라즈마 소스(350)로부터 유동하는 반응성 라디칼들과 함께 동반(entrain)되는 하전 입자들을 필터링하기 위해, 통로(360)에 걸쳐 자기장을 생성한다.
[0048] 도 3에 도시된 구현에서, 제1 자석(352) 및 제2 자석(354)이 통로(360) 근방에 배치된다. 자석들(352, 354)은 통로(360)에 걸쳐 서로 대향하도록 배치될 수 있다. 예컨대, 자석들(352, 354)은 통로(360)의 외측 주변부의 대향 측면들 상에 접착 또는 고정될 수 있다. 자석들(352, 354)이 챔버 덮개(340), 또는 챔버 바디(310)의 다른 컴포넌트들에 고정될 수 있다는 것이 또한 고려된다. 통로(360) 내에 형성된 도관(356)과 대향 자석 사이의 상대 거리는 도관(356)을 통과하는 자기장의 세기에 영향을 미치고, 그에 의해, 필터링 효율에 영향을 미친다. 자기장은 또한, 상이한 자석들을 사용함으로써, 즉, 자석들(352, 354)을 상이한 세기로 대체함으로써, 조정될 수 있다. 통과하는 하전 입자들은 통로(360)의 내측 표면(370)과 접촉하도록 끌어당겨지고, 전기적으로 중성인 비-이온성 종이 된다. 따라서, 필터링된 전기적으로 중성인 라디칼들은 기판의 표면으로 전달되어, 그 기판의 표면 상의 산화물들 및/또는 오염물들과 반응하고 그 산화물들 및/또는 오염물들을 세정한다.
[0049] 일부 구현들에서, 반응성 라디칼들은, 챔버 바디(310) 내로 통과하는 프로세스 가스들(즉, 반응성 라디칼들)의 유동 경로에 석영 표면을 제공함으로써, 추가로 필터링될 수 있다. 예컨대, 원격 플라즈마 소스(350)와 챔버 바디(310)를 연결하는 도관(356)을 정의하는 통로(360)의 내측 표면(370)은 석영으로 전체적으로 또는 부분적으로 코팅 또는 제작될 수 있다. 부가적으로, 플리넘(348) 및/또는 가스 분배 플레이트(326)를 정의하는 표면들이 또한, 석영으로 전체적으로 또는 적어도 부분적으로 코팅 또는 제작될 수 있다. 예컨대, 도 3의 구현에서, 석영 링(324)이 플리넘(348)의 외측 경계를 에워쌀 수 있다. 부가적으로, 석영 라이너(344)가 덮개(340)의 바닥 표면 상에 배치되어, 플리넘(348)의 상부 경계를 정의할 수 있다.
[0050] 통로(360)의 내측 표면(370)은 석영 표면을 제공함으로써 라디칼들의 재결합을 감소시키기 위한 이온 필터로서 역할을 하며, 그 석영 표면으로 인해, 수소-함유 라디칼들이 수소 결합할 수 있고, 석영 표면 상에 흡수될 수 있다. 내측 표면(370) 상에 충돌하는 수소-함유 라디칼들은 흡수된 수소-함유 라디칼을 에너자이징된 가스로 방출함으로써, 수소 라디칼들을 재생성한다. 수소 이온들은 내측 표면(370)에 의해 재생성되지 않고, 그에 따라, 이들 이온들은 재결합하여, 전기적으로 중성인 비-이온성 종을 형성한다. 따라서, 활성화된 세정 가스를 석영 표면 위로 통과시킴으로써, 에너자이징된 세정 가스로부터 반응성 라디칼들이 효과적으로 필터링되는 한편, 라디칼 종이 보존된다. 재결합된 활성 라디칼로부터의 하전 입자들이 효율적으로 감소된다.
[0051] 도 4는 블록(104)에서 발견되는 에피택셜 프로세스를 수행하기 위해 사용될 수 있는 열 프로세싱 챔버(400)의 단면도이다. 프로세싱 챔버(400)는 챔버 바디(402), 지원 시스템들(404), 및 제어기(406)를 포함한다. 챔버 바디(402)는 상부 부분(412) 및 하부 부분(414)을 포함한다. 상부 부분(412)은 챔버 바디(402) 내에서 상부 돔(416)과 기판(210) 사이의 영역을 포함한다. 하부 부분(414)은 챔버 바디(402) 내에서 하부 돔(430)과 기판(210)의 바닥 사이의 영역을 포함한다. 증착 프로세스들은 일반적으로, 상부 부분(412) 내에서 기판(210)의 상부 표면 상에 발생한다.
[0052] 지원 시스템(404)은 미리 결정된 프로세스들, 이를테면, 블록(104)에서 위에서 논의된 바와 같은, 프로세싱 챔버(400)에서의 에피택셜 막들의 성장을 실행 및 모니터링하기 위해 사용되는 컴포넌트들을 포함한다. 제어기(406)는 지원 시스템(404)에 커플링되고, 그리고 프로세싱 챔버(400) 및 지원 시스템(404)을 제어하도록 적응된다. 제어기(406)는 중앙 프로세싱 유닛(CPU), 메모리, 및 지원 회로들을 포함한다.
[0053] 프로세싱 챔버(400)는, 프로세싱 챔버(400) 내에 포지셔닝된 컴포넌트들에 열 에너지를 제공하도록 적응된 복수의 열 소스들, 이를테면 램프들(435)을 포함한다. 예컨대, 램프들(435)은 기판(210), 서셉터(426), 및/또는 예열 링(423)에 열 에너지를 제공하도록 적응될 수 있다. 하부 돔(430)은, 그 하부 돔(430)을 통하는 열 복사선의 통과를 가능하게 하기 위해, 광학적으로 투명한 재료, 이를테면 석영으로 형성될 수 있다. 램프들(435)이 하부 돔(430) 뿐만 아니라 상부 돔(416)을 통해 열 에너지를 제공하도록 포지셔닝될 수 있다는 것이 고려된다.
[0054] 챔버 바디(402)는 그 챔버 바디(402)에 형성된 복수의 플리넘들을 포함한다. 플리넘들은 하나 이상의 가스 소스들(476), 이를테면 캐리어 가스, 및 하나 이상의 전구체 소스들(478), 이를테면 블록(104)에서 위에서 논의된 도펀트 가스 및 증착 가스들과 유체 연통한다. 예컨대, 제1 플리넘(420)은 그 제1 플리넘(420)을 통해 증착 가스(450)를 챔버 바디(402)의 상부 부분(412) 내에 제공하도록 적응될 수 있는 한편, 제2 플리넘(421)은 상부 부분(412)으로부터 증착 가스(450)를 배기하도록 적응될 수 있다. 그러한 방식으로, 증착 가스(450)는 기판(210)의 상부 표면에 평행하게 유동할 수 있다.
[0055] 액체 전구체(예컨대, 테트라실란)가 사용되는 경우들에서, 열 프로세싱 챔버(400)는 액체 전구체 소스(482)와 유체 연통하는 액체 기화기(480)를 포함할 수 있다. 액체 기화기(480)는 열 프로세싱 챔버(400)에 전달될 액체 전구체들을 기화시키기 위해 사용된다. 도시되어 있지 않지만, 액체 전구체 소스(482)가, 예컨대, 전구체 액체 및 용제 액체의 하나 이상의 앰풀들, 차단 밸브, 및 액체 유량계(LFM)를 포함할 수 있다는 것이 고려된다.
[0056] 기판 지지 조립체(432)가 챔버 바디(402)의 하부 부분(414)에 포지셔닝된다. 기판 지지부(432)는 프로세싱 포지션에서 기판(210)을 지지하는 것으로 예시된다. 기판 지지 조립체(432)는 광학적으로 투명한 재료로 형성된 서셉터 지지 샤프트(427), 및 서셉터 지지 샤프트(427)에 의해 지지된 서셉터(426)를 포함한다. 서셉터 지지 샤프트(427)의 샤프트(460)가 슈라우드(shroud)(431) 내에 포지셔닝되고, 그 슈라우드(431)에 리프트 핀 접촉부들(442)이 커플링된다. 서셉터 지지 샤프트(427)는 프로세싱 동안 기판(210)의 회전을 가능하게 하기 위해 회전가능하다. 서셉터 지지 샤프트(427)의 회전은 서셉터 지지 샤프트(427)에 커플링된 액추에이터(429)에 의해 가능하게 된다. 슈라우드(431)는 일반적으로, 적소에 고정되고, 그에 따라, 프로세싱 동안 회전하지 않는다. 지지 핀들(437)이 서셉터(426)에 서셉터 지지 샤프트(427)를 커플링시킨다.
[0057] 리프트 핀들(433)은 서셉터 지지 샤프트(427)에 형성된 개구들(표시되지 않음)을 통해 배치된다. 리프트 핀들(433)은 수직으로 작동가능하고, 그리고 기판(210)을 프로세싱 포지션(도시된 바와 같음)으로부터 기판 제거 포지션으로 리프팅하기 위해 기판(210)의 하면과 접촉하도록 적응된다.
[0058] 예열 링(423)은 챔버 바디(402)에 커플링된 하부 라이너(440) 상에 제거가능하게 배치된다. 예열 링(423)은 챔버 바디(402)의 내부 볼륨 주위에 배치되고, 그리고 기판(210)이 프로세싱 포지션에 있는 동안 기판(210)을 에워싼다. 예열 링(423)은, 예열 링(423)에 인접한 플리넘(420)을 통해 프로세스 가스가 챔버 바디(402)에 진입할 때, 프로세스 가스의 예열을 가능하게 한다.
[0059] 상부 돔(416)의 중앙 윈도우 부분(415) 및 하부 돔(430)의 바닥 부분(417)은 광학적으로 투명한 재료, 이를테면 석영으로 형성될 수 있다. 중앙 윈도우 부분(415)의 둘레 주위에서 중앙 윈도우 부분(415)과 맞물리는, 상부 돔(416)의 주변 플랜지(419), 및 바닥 부분의 둘레 주위에서 바닥 부분과 맞물리는, 하부 돔(430)의 주변 플랜지(421)는 모두, 주변 플랜지들에 근접한 O-링들(422)이 열 복사선에 직접적으로 노출되는 것을 막기 위해, 불투명한 석영으로 형성될 수 있다. 주변 플랜지(419)는 광학적으로 투명한 재료, 이를테면 석영으로 형성될 수 있다.
[0060] 도 5는 블록들(102, 106, 108, 및 110)에서 발견되는 프로세스들 중 임의의 프로세스를 수행하기 위해 사용될 수 있는 ICP 플라즈마 챔버(500)의 단면도이다. 도 5에 도시된 플라즈마 챔버(500)는 상부 부분(528) 및 하부 부분(530)을 포함한다. 플라즈마 챔버(500)는 측벽(505) 및 덮개 조립체(510)를 갖는다. 측벽(505)은 원통과 같은 축 대칭 형상을 갖는다. 측벽(505)은, 금속으로 형성될 수 있는 챔버 라이너(507) 및 축 대칭형(예컨대, 원통형) 유전체 측부 윈도우(506)를 포함한다. 플라즈마 챔버(500) 내부의 기판 지지부(515)는 기판(210)을 홀딩하기 위한, 덮개 조립체(510)와 대면하는 기판 지지 표면(521)을 갖는 페데스탈(520), 및 페데스탈(520)을 지지하는 지주(post)(525)를 포함한다. 플라즈마 챔버(500)의 프로세싱 구역(501)은 덮개 조립체(510), 페데스탈(520), 및 측벽(505)에 의해 한정된다.
[0061] 페데스탈(520)은 절연된 내부 전극(524)을 포함할 수 있다. 선택적으로, 정전 척킹(ESC) 전압 및/또는 RF 플라즈마 바이어스 전력이, 지주(525)를 통해 연장되는 케이블(532)을 통해, 절연된 내부 전극(524)에 공급될 수 있다. 케이블(532)은 절연된 내부 전극(524)으로의 RF 바이어스 피드(feed)로서 RF 바이어스 전력 소스(이를테면, RF 임피던스 정합 네트워크 및/또는 RF 전력 생성기)에 커플링될 수 있다.
[0062] 플라즈마 소스 전력은 한 세트의 코일 안테나들에 의해 프로세싱 구역(501) 내에 유도성 커플링되며, 그 한 세트의 코일 안테나들은 내측 코일 안테나(540), 중간 코일 안테나(550), 및 선택적으로는 외측 또는 측부 코일 안테나(560)를 포함하고, 이들 안테나들 모두는 서로에 대하여 동심으로 배치되고, 측벽(505)의 대칭 축과 동축이다. 덮개 조립체(510)는 디스크-형상 유전체 윈도우(512)를 포함하며, 그 디스크-형상 유전체 윈도우(512)를 통해, 내측 코일 안테나(540) 및 중간 코일 안테나(550)가 RF 플라즈마 소스 전력을 프로세싱 구역(501) 내에 유도성 커플링시킨다. 디스크-형상 유전체 윈도우(512)는 그 주변부에서 환상 상단 가스 플레이트(523)에 의해 지지된다. 환상 상단 가스 플레이트(523)는 개구(504)를 둘러싼다. 디스크-형상 유전체 윈도우(512)는 측벽(505)과 동축이고, 그리고 기판 지지 표면(521)의 평면과 평행한 디스크-평면을 갖는다. 측부 코일 안테나(560)는 원통형 유전체 측부 윈도우(506)를 통해 RF 플라즈마 소스 전력을 프로세싱 구역(501) 내에 유도성 커플링시킨다.
[0063] 가스 주입기(514)가 디스크-형상 유전체 윈도우(512)의 중앙에 위치되고, 환상 가스 유동 플레이트(516)에 의해 둘러싸인다. 가스 유동 플레이트(516)는 가스 주입기(514)로의 가스 유동 경로를 제공하도록 구성된 복수의 가스 입력 포트들(미도시)을 가질 수 있다. 가스 주입기(514)에 의해 프로세싱 구역(501) 내로 세정 가스 또는 에칭 가스가 주입된다.
[0064] 챔버 라이너(507)는, 하부 챔버 바디 플로어(580) 및 원통형 하부 챔버 바디 측벽(575)을 포함하는 하부 챔버 바디(570) 내에서 에워싸인다. 하부 챔버 바디 측벽(575) 및 하부 챔버 바디 플로어(580)는 진공배기 구역(581)을 에워싼다. 진공 펌프(590)가 하부 챔버 바디 플로어(580)에서 진공 펌프 개구(595)에 배치되고, 그리고 하부 챔버 바디 측벽(575)의 대칭 축에 대하여 센터링(center)된다. 기판 지지부(515)와 동축인 컨테인먼트 벽(containment wall)(596), 및 페데스탈(520)과 컨테인먼트 벽(596) 사이에서 연장되는 가요성 벨로즈(597)가 내부 중앙 공간(598)에서 기판 지지부(515)를 에워싼다. 내부 중앙 공간(598)은, 프로세싱 구역(501) 및 진공배기 구역(581)을 포함하는, 진공 펌프(590)에 의해 진공배기되는 볼륨으로부터 격리된다.
[0065] 전력은 공통 RF 소스로부터 공급될 수 있거나, 또는 상이한 RF 소스들, 이를테면 RF 정합부들(RF 임피던스 정합 네트워크들)(542 및 544)로부터 공급될 수 있다. 제1 RF 생성기를 이용하여 코일 안테나들 중 2개를 구동시키기 위해 듀얼 출력들을 갖는 RF 임피던스 정합 네트워크가 이용될 수 있는 한편, 제2 RF 생성기 및 제2 RF 임피던스 정합 네트워크는 제3 코일 안테나를 구동시킨다. 일 구현에서, 3개의 출력들을 갖는 RF 임피던스 정합 네트워크를 통해, 단일 RF 전력 생성기가 모든 3개의 코일 안테나들을 구동시킬 수 있다. 대안적으로, 3개의 RF 생성기들이 3개의 각각의 RF 임피던스 정합 네트워크들을 통해 3개의 코일 안테나들을 개별적으로 구동시킬 수 있다. 상이한 코일 안테나들에 인가되는 RF 전력 레벨은 플라즈마 이온 밀도의 방사상 분배를 제어하기 위해 개별적으로 조정될 수 있다. 설명된 구현들이 3개의 코일 안테나들(540, 550, 및 560)을 포함하지만, 다른 구현들은 3개의 설명된 코일 안테나들(540, 550, 및 560) 중 1개 또는 2개만을 포함할 수 있다.
[0066] 도 6은 기판들, 이를테면 실리콘 기판들의 온도-제어식 프로세싱을 위해 사용될 수 있는 프로세싱 시스템(600)의 개략적인 단면도이다. 프로세싱 시스템(600)은 프로세싱 유닛(624) 및 제1 열 유닛(608)을 포함한다. 프로세싱 유닛(624)은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 VANTAGE® RADOXTM RTP 챔버일 수 있다. 프로세싱 유닛(624)은, 프로세스들, 이를테면 예컨대, 열 어닐링, 열 세정, 열 화학 기상 증착, 열 산화, 또는 열 질화를 위해, 기판(201)을 가열하는 제어식 열 사이클을 제공할 수 있다.
[0067] 프로세싱 유닛(624)은 프로세스 구역(602)을 에워싸는 챔버(636)를 포함한다. 챔버(636)는 스테인리스 강, 알루미늄, 또는 다른 적합한 재료들로 제조될 수 있다. 챔버(636)는 또한, 가스 배출구(618), 및 가스 배출구(618)와 대향하는 제1 가스 유입구(616)를 포함할 수 있다. 챔버(636)는 그 챔버(636)에 배치된 기판 지지부(642)를 포함할 수 있으며, 기판 지지부(642)는 프로세스 구역(602)에서의 프로세싱 동안 그 기판 지지부(642) 상에 기판(201)을 지지한다. 기판 지지부(642)는 프로세싱 동안 기판(201)을 회전시키는 석영 지지 원통(622) 및 자기 부상형 로터(620)를 포함할 수 있다. 복사선 소스(646)는 기판(201) 상으로 복사선을 지향시키고, 그리고 기판(201) 아래에서, 복사선 투과성 윈도우(644) 아래의, 챔버(636)의 바닥 표면(638) 근방에 포지셔닝될 수 있다. 일 구현에서, 복사선 소스(646)는 복수의 열 엘리먼트들(606)을 포함할 수 있다. 복수의 열 엘리먼트들(606)은, 기판(201)에 걸쳐 온도들을 제어하기 위해 독립적으로 조절될 수 있는 하나 이상의 대략 방사상인 가열 구역들을 포함할 수 있다.
[0068] 일 구현에서, 열 엘리먼트들(606)은 기판(201)에 조정형(tailored) 적외선 가열 수단을 제공하기 위한 복수의 텅스텐-할로겐 램프들일 수 있다. 복사선 소스(646)는, 예컨대 약 50 ℃/s 내지 약 280 ℃/s의 레이트로, 열 프로세싱을 위해 기판(201)을 신속하게 가열할 수 있다. 온도 튜닝은 특정 위치들에서 기판(201)의 온도를 변화시키면서 기판의 나머지 부분의 온도에 영향을 미치지 않도록 수행될 수 있다. 다른 구현에서, 복사선 소스(646)는 챔버(636) 내에 위치될 수 있다.
[0069] 제1 열 유닛(608)은 제1 도관(612)에 커플링될 수 있다. 제1 도관(612)은 제1 가스 소스(628)에 커플링될 수 있고, 제1 가스 소스(628)와 제1 가스 유입구(616) 사이의 연결을 제공할 수 있다. 따라서, 제1 열 유닛(608)은 프로세싱 유닛(624)에 동작가능하게 커플링될 수 있다. 제1 열 유닛(608)은 제1 가스가 챔버(636) 내의 프로세스 구역(602)에 진입하기 전에 제1 가스를 제1 온도까지 가열할 수 있다. 가스 소스들은 프로세스 구역(602) 내에 프로세스 가스들을 제공할 수 있다. H2O2를 사용하는 반응의 경우들에서, 제2 프로세스 가스는 수소일 수 있다. N2O를 수반하는 반응의 경우들에서, 제2 프로세스 가스는 질소일 수 있다. 제1 도관(612)은 제1 열 유닛(608)과 제1 가스 유입구(616) 사이에서 연장되는 부분(614)에서 절연체(634)에 의해 절연될 수 있다. 제1 도관(612)을 절연시키는 것은 유리하게, 제1 가스가 제1 열 유닛(608)으로부터 프로세스 구역(602)으로 유동할 때, 열 손실을 감소시킨다. 프로세스 구역(602)에 진입하기 전에 제1 가스를 가열하는 것은 유리하게, 기판(201)의 표면에 걸친 온도 기울기를 감소시킴으로써, 균일성을 개선한다. 부가적으로, 제1 가스가 가열된 후에 제1 가스에 제2 가스가 부가되기 때문에, 2개의 가스들은 프로세스 구역(602) 근처에서 반응할 수 있다. 일 구현에서, 제1 가스는 더 낮은 열 전도도를 갖는 가스이고, 그에 따라, 연소 반응을 제어한다. H2O2를 사용하는 반응의 경우들에서, 제1 가스는 산소일 수 있다. N2O를 수반하는 반응의 경우들에서, 제1 가스는 산소일 수 있다.
[0070] 커플링 유닛(648)이 제1 도관(612)의 부분(614)을 챔버(636)와 커플링시킬 수 있다. 일 구현에서, 커플링 유닛(648)은 실리카일 수 있다. 기판(201)의 온도가 약 1000 ℃에 도달할 수 있지만, 챔버(636)의 벽들은 챔버(636)의 밀봉부들의 무결성을 유지하기 위해 약 30 ℃에 근접하게 유지된다. 따라서, 커플링 유닛(648)은 유리하게, 기판(201)의 프로세싱 동안 챔버(636)의 무결성을 유지한다.
[0071] 도 7은 본원에서 설명되는 구현들에 따른, 도 1에 예시된 흐름도(100)를 완료하기 위해 사용될 수 있는 프로세싱 시스템(700)의 개략적인 평면도이다. 프로세싱 시스템(700)의 일 예는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 CENTURA® 시스템이다. 임의의 편리한 타입의 이송 로봇(704)이 프로세싱 시스템(700)의 이송 챔버(702)에 배치된다. 2개의 로드-락 챔버들(706A, 706B)을 갖는 로드-락(706)이 이송 챔버(702)에 커플링된다. 복수의 프로세싱 챔버들(708, 710, 712, 714, 및 716)이 또한 이송 챔버(702)에 커플링된다. 복수의 프로세싱 챔버(708, 710, 712, 714, 및 716)는 도 2 내지 도 6에 대하여 위에서 설명된 챔버들 중 적어도 하나의 챔버, 이를테면, 세정 챔버, 에칭 챔버, 에피택셜 챔버, 또는 산화 챔버 등을 포함할 수 있다.
[0072] 프로세싱 챔버(708)는 증착 전에 기판을 세정하도록 구성된 세정 챔버일 수 있다. 예컨대, 프로세싱 챔버(708)는 도 2에 도시된 프로세싱 챔버(200)와 유사한 용량성 커플링 프로세싱 챔버일 수 있다. 일 구현에서, 프로세싱 챔버(708)는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 SiCoNiTM Preclean 챔버이다. 프로세싱 챔버(708)는 블록(102)에서 위에서 논의된 바와 같은 세정 프로세스를 수행하기 위해 사용될 수 있다.
[0073] 프로세싱 챔버(710)가 또한, 증착 전에 기판을 세정하도록 구성된 세정 챔버일 수 있다. 예컨대, 프로세싱 챔버(710)는 도 3에 도시된 플라즈마-세정 챔버(300)와 유사한, 원격 플라즈마 소스를 사용하는 사전-세정 챔버일 수 있다. 일 구현에서, 프로세싱 챔버(710)는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 AKTIV Pre-CleanTM 챔버이다. 프로세싱 챔버(710)는, 블록(102) 및/또는 블록(108)에서 위에서 논의된 바와 같이, 전기적으로 중성인 라디칼들(예컨대, 수소 라디칼들)을 사용하여 기판 상의 산화물들 및/또는 오염물들과 반응시켜서 그 산화물들 및/또는 오염물들을 세정한다.
[0074] 프로세싱 챔버(712)는 기판 상에 재료를 증착하도록 구성된 열 프로세싱 챔버일 수 있다. 예컨대, 프로세싱 챔버(712)는 재료 증착 챔버, 이를테면, 도 4에 도시된 프로세싱 챔버(400)와 유사한 에피택시 챔버일 수 있다. 일 구현에서, 프로세싱 챔버(712)는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 Centura® RP EPI 챔버이다. 프로세싱 챔버(712)는 블록(104)에서 위에서 논의된 바와 같은 에피택셜 성장 프로세스, 및 블록(106)에서 위에서 논의된 바와 같은 퍼지 프로세스를 수행하기 위해 사용될 수 있다.
[0075] 프로세싱 챔버(714)는 기판으로부터 재료를 에칭하도록 구성된 에칭 챔버일 수 있다. 예컨대, 프로세싱 챔버(714)는 플라즈마 챔버, 이를테면, 도 5에 도시된 플라즈마 챔버(500)와 유사한 ICP 플라즈마 챔버일 수 있다. 일 구현에서, 프로세싱 챔버(714)는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 Centura® AdvantedgeTM MesaTM 에칭 챔버이다. 프로세싱 챔버(714)는 블록(108)에서 위에서 논의된 바와 같은 에칭-관련 프로세스를 수행하기 위해 사용될 수 있다.
[0076] 프로세싱 챔버(716)는 기판을 가열하는 제어식 열 사이클을 제공하도록 구성된 열 프로세스 챔버일 수 있다. 예컨대, 프로세싱 챔버(716)는 도 6에 도시된 프로세싱 시스템(600)과 유사한 열 프로세스 챔버일 수 있다. 일 구현에서, 프로세싱 챔버(716)는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 VANTAGE® RADOXTM RTP 챔버이다. 프로세싱 챔버(716)는, 블록(110)에서 위에서 논의된 바와 같이, 증착 후의 하류 프로세싱, 이를테면, 열 어닐링, 열 세정, 열 화학 기상 증착, 열 산화, 또는 열 질화를 수행하기 위해 사용될 수 있다.
[0077] 프로세싱 동안, 프로세싱될 기판이 포드(미도시)에서 프로세싱 시스템(700)에 도달할 수 있다. 기판은 팩토리 인터페이스 로봇(미도시)에 의해 포드로부터 진공 양립가능 로드-락(706A, 706B)으로 이송된다. 이어서, 기판은, 일반적으로 진공 상태로 유지되는 이송 챔버(702) 내의 이송 로봇(704)에 의해 핸들링된다. 이어서, 이송 로봇(704)은, 블록(102)에서 설명된 바와 같은 기판의 세정을 위해, 프로세싱 챔버(708) 또는 프로세싱 챔버(710) 중 어느 하나 내로 기판을 로딩한다. 세정의 완료 시에, 이어서, 이송 로봇(704)은 프로세싱 챔버(708 또는 710)로부터 기판을 픽업하고, 그리고 블록들(104 및 106)에서 설명된 바와 같은 기판 상의 재료의 에피택셜 성장 및 챔버 퍼징을 위해, 프로세싱 챔버(712) 내로 기판을 로딩한다. 이어서, 이송 로봇(704)은 프로세싱 챔버(712)로부터 기판을 픽업하고, 그리고 블록(108)에서 설명된 바와 같은 기판으로부터의 재료들의 에칭을 위해, 프로세싱 챔버(714) 내로 기판을 로딩한다. 이러한 시퀀스는 에피택셜 막의 미리 결정된 두께가 도달될 때까지 반복된다. 그 후에, 이송 로봇(704)은 프로세싱 챔버(714)로부터 기판을 픽업하고, 그리고 블록(110)에서 위에서 논의된 바와 같이, 임의의 하류 프로세싱, 이를테면, 열 어닐링, 열 세정, 열 화학 기상 증착, 열 산화, 또는 열 질화를 위해, 프로세싱 챔버(716) 내로 기판을 로딩한다. 동일한 프로세싱 시스템 내에서 모든 동작들(블록들(102, 104, 106, 108, 및 110))이 수행되기 때문에, 기판이 다양한 프로세싱 챔버들로 이송될 때, 기판이 대기에 노출되지 않으며(즉, 진공이 파괴되지 않음), 이는 오염 가능성을 감소시키고, 증착되는 에피택셜 막의 품질을 개선한다.
[0078] 이송 챔버(702)는 프로세스 동안 진공 하에서 그리고/또는 대기압 미만의 압력으로 유지될 수 있다. 이송 챔버(702)의 진공 레벨은 대응하는 프로세싱 챔버들의 진공 레벨과 일치하도록 조정될 수 있다. 예컨대, 기판을 이송 챔버(702)로부터 프로세싱 챔버 내로(또는 그 반대로) 이송할 때, 이송 챔버(702) 및 프로세싱 챔버는 동일한 진공 레벨로 유지될 수 있다. 이어서, 기판을 이송 챔버로부터 로드 락 챔버 또는 배치 로드 락 챔버로(또는 그 반대로) 이송할 때, 프로세싱 챔버와 로드-락 챔버의 진공 레벨이 상이할 수 있을지라도, 이송 챔버 진공 레벨은 로드-락 챔버(706A, 706B)의 진공 레벨과 일치할 수 있다.
[0079] 요약하면, 본 개시내용의 이점들은, 에피택셜 증착 전의 실리콘-함유 기판의 사전-세정 및 순환 증착-에칭 프로세스를 위한 통합형 시스템 및 방법을 제공하고, 그 순환 증착-에칭 프로세스는 디실란 또는 테트라실란(또는 고차 실란들)을 사용하는 에피택셜 증착 단계, 및 GeH4 및 HCl을 사용하는 에칭-백 단계를 포함하며, 이는 에피택시 프로세스의 에칭 선택비(적어도 50:1) 및 디바이스 품질을 개선한다. GeH4 및 HCl을 사용하는 에칭-백 단계는, 가능한 실리콘 핵들을 유전체 표면들로부터 효과적으로 제거할 수 있게 하고, 그리고 감소된 에칭 온도들(500 ℃ 미만)로 활성 도펀트를 훨씬 더 적게 손실하면서 실리콘 에피택셜 막을 형성할 수 있게 한다. 본 발명의 증착-에칭 프로세스에 의해, 처리량을 희생시키지 않으면서, 입방 센티미터당 5.77x1020 원자 이상, 예컨대 입방 센티미터당 9.49x1020 원자의 인 농도를 갖는 인-함유 실리콘 층이 획득될 수 있다. 높은 인 농도는 증착되는 에피택셜 막 내에 응력을 유도하여, 인장 변형률(tensile strain)을 증가시킴으로써, 캐리어 모빌리티를 증가시키고, 디바이스 성능을 개선한다. 부가하여, 진공 이송을 통해 프로세스 챔버들을 클러스터링하는 것은 대기에 대한 노출을 감소시키고, 이에 대응하여, 산소 오염물들에 대한 노출을 감소시킨다. 에피택셜 증착 및 실리콘의 에칭과 함께 자연 산화물 제거 챔버들을 클러스터링하는 것이 또한, 산소 오염물들을 감소시킨다. 따라서, 통합형 시스템은 유리하게, 개선된 반도체 디바이스를 제공한다.
[0080] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 이송 챔버;
    상기 이송 챔버에 커플링되고 반도체 기판의 표면으로부터 자연 산화물을 제거하도록 동작 가능한 용량성 커플링 플라즈마 소스를 포함하는 사전-세정 챔버 ― 상기 용량성 커플링 플라즈마 소스는 He 및 NF3의 소스들과 커플링됨 ―;
    상기 이송 챔버에 커플링된 플라즈마 세정 챔버;
    상기 이송 챔버에 커플링된 증착 챔버; 및
    상기 이송 챔버에 커플링된 열 프로세스 챔버
    를 포함하고,
    상기 사전-세정 챔버는 가스 분배 플레이트 및 가스 유입구를 더 포함하고, 상기 가스 분배 플레이트는 상기 용량성 커플링 플라즈마 소스로부터 상기 사전-세정 챔버의 프로세싱 영역으로 He 및 NF3를 함유하는 플라즈마를 제공하도록 구성되고, 상기 가스 유입구는 상기 사전-세정 챔버의 측면 상에 배치되어 암모니아 소스와 유체 연통하는 ,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  2. 제1항에 있어서,
    상기 플라즈마 세정 챔버는 전기적으로 중성인 라디칼들을 생성하도록 동작가능한 유도성 커플링 플라즈마 소스를 갖는,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  3. 제2항에 있어서,
    상기 유도성 커플링 플라즈마 소스는 상기 사전-세정 챔버로부터 물리적으로 분리된 원격 플라즈마 소스인,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  4. 삭제
  5. 제1항에 있어서,
    상기 사전-세정 챔버는 바이어스 RF 전력 공급부에 커플링된 기판 지지부를 갖는,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  6. 제1항에 있어서,
    상기 증착 챔버는 액체 전구체 기화기를 포함하는 에피택시(epitaxy) 챔버인,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  7. 제1항에 있어서,
    상기 이송 챔버에 커플링된 플라즈마 에칭 챔버를 더 포함하는,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  8. 제7항에 있어서,
    상기 플라즈마 에칭 챔버는 한 세트의 코일 안테나들을 포함하는,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  9. 제1항에 있어서,
    상기 증착 챔버는 액체 전구체 소스와 유체 연통하는 액체 전구체 기화기를 포함하는 에피택시 챔버인,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  10. 로드-락 챔버에 커플링된 이송 챔버;
    상기 이송 챔버에 커플링된 제1 세정 챔버 ― 상기 제1 세정 챔버는 용량성 커플링 플라즈마 소스, 및 바이어스 RF 전력 공급부에 커플링된 기판 지지부를 포함하고, 상기 용량성 커플링 플라즈마 소스는 He 및 NF3를 함유하는 플라즈마를 사용하여 반도체 기판의 표면으로부터 자연 산화물을 제거하도록 동작 가능함 ―;
    상기 이송 챔버에 커플링된 제2 세정 챔버 ― 상기 제2 세정 챔버는 수소-함유 라디칼들을 생산하도록 동작 가능한 유도성 커플링 플라즈마 소스를 포함함 ―;
    상기 이송 챔버에 커플링된 에피택시 챔버 ― 상기 에피택시 챔버는 액체 전구체 기화기를 포함함 ―; 및
    상기 이송 챔버에 커플링된 열 프로세스 챔버
    를 포함하고,
    상기 제1 세정 챔버는 가스 분배 플레이트 및 가스 유입구를 더 포함하고, 상기 가스 분배 플레이트는 상기 용량성 커플링 플라즈마 소스로부터 상기 제1 세정 챔버의 프로세싱 영역으로 He 및 NF3를 포함하는 상기 플라즈마를 제공하도록 구성되고, 상기 가스 유입구는 상기 제1 세정 챔버의 측면 상에 배치되고 암모니아 소스와 유체 연통하는,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  11. 삭제
  12. 삭제
  13. 제10항에 있어서,
    상기 이송 챔버에 결합된 플라즈마 에칭 챔버를 더 포함하고,
    상기 플라즈마 에칭 챔버는 한 세트의 코일 안테나들을 포함하는,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  14. 로드-락 챔버에 커플링된 이송 챔버;
    상기 이송 챔버에 커플링되고 He 및 NF3를 함유하는 플라즈마를 사용하여 반도체 기판의 표면으로부터 자연 산화물을 제거하도록 동작 가능한 제1 세정 챔버 ― 상기 제1 세정 챔버는 원격 플라즈마 소스, 가열형 가스 분배 플레이트, 및 가스 유입구를 포함하고, 상기 가열형 가스 분배 플레이트는 상기 원격 플라즈마 소스로부터 상기 제1 세정 챔버의 프로세싱 영역으로 상기 He 및 NF3를 함유하는 플라즈마를 제공하도록 구성되고, 상기 가스 유입구는 상기 제1 세정 챔버의 측면 상에 배치되고, 암모니아 소스와 유체 연통함 ―;
    상기 이송 챔버에 커플링된 제2 세정 챔버 ― 상기 제2 세정 챔버는 한 세트의 안테나 코일들을 갖는 유도성 커플링 플라즈마 소스를 포함하고 수소-함유 라디칼들을 생산하도록 동작 가능함 ―;
    상기 이송 챔버에 커플링된 에피택시 챔버 ― 상기 에피택시 챔버는 액체 전구체 소스와 유체 연통하는 액체 전구체 기화기를 포함함 ―; 및
    상기 이송 챔버에 커플링된 열 프로세스 챔버
    를 포함하는,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
  15. 제14항에 있어서,
    상기 열 프로세스 챔버는 열 산화 챔버인,
    반도체 기판을 프로세싱하기 위한 클러스터 툴.
KR1020197026383A 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치 KR102619574B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020237044849A KR102663833B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
KR1020227037210A KR102511483B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762457572P 2017-02-10 2017-02-10
US62/457,572 2017-02-10
PCT/US2018/017016 WO2018148189A1 (en) 2017-02-10 2018-02-06 Method and apparatus for low temperature selective epitaxy in a deep trench

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020227037210A Division KR102511483B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
KR1020237044849A Division KR102663833B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20190108176A KR20190108176A (ko) 2019-09-23
KR102619574B1 true KR102619574B1 (ko) 2023-12-28

Family

ID=63106193

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237044849A KR102663833B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
KR1020197026383A KR102619574B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
KR1020227037210A KR102511483B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237044849A KR102663833B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227037210A KR102511483B1 (ko) 2017-02-10 2018-02-06 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치

Country Status (5)

Country Link
US (2) US20180230624A1 (ko)
KR (3) KR102663833B1 (ko)
CN (2) CN110249417B (ko)
TW (2) TW201839891A (ko)
WO (1) WO2018148189A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10840066B2 (en) 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR102481414B1 (ko) 2018-07-05 2022-12-23 어플라이드 머티어리얼스, 인코포레이티드 실리사이드 막 핵생성
US10971366B2 (en) 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US10871325B2 (en) * 2018-11-15 2020-12-22 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Vaccum drying apparatus
CN110981172A (zh) * 2019-12-21 2020-04-10 张忠恕 一种外延工艺石英焊件组件及其加工工艺
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
US20220157604A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
CN112802782B (zh) * 2021-03-29 2022-04-05 西安奕斯伟硅片技术有限公司 用于电荷钝化测试单晶硅片少子寿命的前处理系统和方法
JP2023097397A (ja) * 2021-12-27 2023-07-07 セメス カンパニー,リミテッド ガス供給ユニット及びこれを含む基板処理装置
US20230307506A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation
CN114855270B (zh) * 2022-04-21 2023-07-28 南昌大学 一种类分子束外延设备及薄膜制备方法
KR20230151810A (ko) * 2022-04-26 2023-11-02 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US20230402268A1 (en) * 2022-06-09 2023-12-14 Applied Materials, Inc. Plasma preclean system for cluster tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001511608A (ja) * 1997-07-29 2001-08-14 シリコン ジェネシス コーポレイション プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置
US20030062333A1 (en) * 2001-09-28 2003-04-03 Applied Materials, Inc. Method and apparatus for cleaning substrates
US20100216308A1 (en) * 2009-02-25 2010-08-26 Imec Method for etching 3d structures in a semiconductor substrate, including surface preparation

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
JP2002525866A (ja) * 1998-09-22 2002-08-13 アプライド マテリアルズ インコーポレイテッド 内部誘導コイルアンテナ及び導電性チャンバ壁を有するrfプラズマエッチング反応器
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP5267361B2 (ja) * 2009-07-03 2013-08-21 株式会社Sumco エピタキシャル成長方法
US8920599B2 (en) * 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US9396992B2 (en) * 2014-03-04 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a barrier-seed tool for forming fine-pitched metal interconnects

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001511608A (ja) * 1997-07-29 2001-08-14 シリコン ジェネシス コーポレイション プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置
US20030062333A1 (en) * 2001-09-28 2003-04-03 Applied Materials, Inc. Method and apparatus for cleaning substrates
US20100216308A1 (en) * 2009-02-25 2010-08-26 Imec Method for etching 3d structures in a semiconductor substrate, including surface preparation

Also Published As

Publication number Publication date
WO2018148189A1 (en) 2018-08-16
KR20190108176A (ko) 2019-09-23
CN110249417A (zh) 2019-09-17
KR102663833B1 (ko) 2024-05-03
US20230036426A1 (en) 2023-02-02
TW202333281A (zh) 2023-08-16
US20180230624A1 (en) 2018-08-16
KR20240005999A (ko) 2024-01-12
CN110249417B (zh) 2023-10-24
TW201839891A (zh) 2018-11-01
KR102511483B1 (ko) 2023-03-17
KR20220147159A (ko) 2022-11-02
CN117558649A (zh) 2024-02-13

Similar Documents

Publication Publication Date Title
KR102619574B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
US11087979B2 (en) Cleaning method
CN101379214B (zh) 外延沉积工艺及装置
TWI508181B (zh) 高遷移率單塊p-i-n二極體
US20190062904A1 (en) Integrated epitaxy system high temperature contaminant removal
JP2016528734A (ja) エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
US9885123B2 (en) Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US20170350038A1 (en) Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
JP2023174653A (ja) 一体化されたエピタキシと予洗浄システム
KR20240069818A (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
JP7190905B2 (ja) 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
WO2017209900A1 (en) A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant