CN101379214B - 外延沉积工艺及装置 - Google Patents

外延沉积工艺及装置 Download PDF

Info

Publication number
CN101379214B
CN101379214B CN2007800044987A CN200780004498A CN101379214B CN 101379214 B CN101379214 B CN 101379214B CN 2007800044987 A CN2007800044987 A CN 2007800044987A CN 200780004498 A CN200780004498 A CN 200780004498A CN 101379214 B CN101379214 B CN 101379214B
Authority
CN
China
Prior art keywords
base material
gas
epitaxial
plasma
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800044987A
Other languages
English (en)
Other versions
CN101379214A (zh
Inventor
A·兰
Y·金
S·库普里奥
S-E·潘
X·陆
C-T·考
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101379214A publication Critical patent/CN101379214A/zh
Application granted granted Critical
Publication of CN101379214B publication Critical patent/CN101379214B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明提供外延沉积工艺,所述外延沉积工艺包含干式蚀刻工艺与后续的外延沉积工艺。干式蚀刻工艺包括放置待清洁的基材至处理室中以移除表面氧化物。导入气体混合物至等离子体腔室中,且激发所述气体混合物以在所述等离子体腔室中形成活性气体的等离子体。所述活性气体进入所述处理室中且与所述基材反应而形成薄膜。加热所述基材以蒸发所述薄膜且将外延表面暴露。外延表面实质上不具氧化物。外延沉积接着用以形成外延层于所述外延表面上。

Description

外延沉积工艺及装置
技术领域
本发明涉及外延沉积方法、系统以及设备,尤其涉及利用清洁工艺以在外延工艺前移除表面氧化物的外延沉积方法、系统与设备。 
背景技术
外延层是生长于结晶基材上的结晶膜。下层基材当作生长膜的垫层(template),以使外延层的结晶图案特性可由下层结晶基材所定义。也就是,结晶基材为外延生长提供结晶图案籽晶。基材诸如为单晶硅、硅锗或绝缘层上覆硅晶片(SOI wafer)。 
外延层的生长通常使用化学气相沉积(CVD)来实现。将基材晶片载入CVD反应器中,并接着以非活性气体-例如,氦气(He)、氩气(Ar)、氮气(N2)或氢气(H2)-清洗。接着升高反应器的温度,并将载气与活性气体混合导入反应器中。活性气体可包含,但不限于,硅甲烷(SiH4)、硅乙烷(Si2H6)、硅丙烷(Si3H8)、二氯硅烷(SiH2Cl2)、三氯硅烷(SiHCl3)以及四氯硅烷(SiCl4)。亦可导入掺杂气体,诸如砷化氢(AsH3)、磷化氢(PH3)与二硼烷(B2H6)。载气通常为氢气。当达到外延层的所需厚度时,可再次使用非活性气体以清洁反应器,同时降低反应器温度。 
然而,为了使外延工艺成功进行,必须将结晶基材上的氧化物减少至最低。若基材的表面氧含量太高,将会不利地影响外延生长工艺,因为氧原子会妨碍沉积材料在籽晶基材上的结晶位置。例如,在硅外延沉积中,结晶基材上的过多氧会因为氧原子的原子大小丛集而使硅原子偏离外延位置。随着外延层生长厚度增加,此局部原子错置会造成后续原子排序的错误。这样的现象也称做堆垛层错(stacking fault)或称做凸块缺陷(hillockdefect)。基材表面可能发生氧化,例如,当基材在不同工作平台间传送而暴露在大气中时。 
为了避免因表面氧化而造成的缺陷,在外延沉积工艺之前应该先将残余的表面氧由结晶基材上移除。例如,基材可在氢气气氛中以超过1000℃的温度退火,此步骤可称为氢气预烘烤(hydrogen pre-bake)。然而,这样的高温工艺较为昂贵。因此,替代地,在将基材载入外延反应器前通常进行外部(ex-situ)湿式稀释氢氟酸蚀刻。此工艺在本领域中有时是指氢氟酸最后清洁(HF-last)工艺。在浸润之后可干燥基材,并用氢气(用于硅基材时)钝化,而氢气对基材而言,可将减缓原生氧化物生长的硅-氢键(Si-H bond)填充基材表面,此步骤可发生于晶片由湿式氢氟酸蚀刻平台转移至外延反应器时暴露在大气的过程中。由于仍有微量的氧化作用发生(假设暴露至大气的机会降至最小),可原位(in-situ)进行相对光氢气预烘烤,例如在小于900℃的温度下进行30至120秒。在预烘烤步骤之后,可进行外延沉积工艺。 
虽然HF-last的预清洁步骤可有效移除基材表面上的原生氧化物,但是此步骤将某些复杂因素导入制造工艺中。第一,由于为湿式处理,HF-last步骤会增加湿式清洁平台与外延沉积反应器之间原有排队时间。第二,在HF-last的预清洁步骤中使用稀释氢氟酸溶液的等向蚀刻会下切氧化物。最后,在硅基材中使用的氢氟酸溶液的选择性问题会随着氧氮化硅蚀刻速率而升高。减少上述发生在工艺中的任何问题即可改进生产量。因此需要将湿式氢氟酸预清洁步骤取代成干式预清洁步骤,而干式清洁步骤可轻易地整合至“零环境暴露”(zero-ambient exposure)的工艺系统中。 
发明内容
在本发明的一个方面中,多腔体工艺设备包含干式蚀刻处理器,所述干式蚀刻处理器包含适于形成等离子体的腔体,而腔体可与外延沉积反应器真空密闭连接。利用等离子体的干式蚀刻工艺是在干式蚀刻处理器中的基材上进行,以将氧化物从基材表面上移除,并使外延表面暴露。基材接着从干式蚀刻处理器转移至外延沉积反应器而不暴露于环境空气中。外延沉积反应器接着可在外延表面上形成外延层。 
在另一个方面中,干式蚀刻工艺包含将待清洁的基材放置于处理室中。将气体混合物导入与处理室分开的等离子体腔室中。等离子体在此等离子体腔室中形成以激发气体并由此形成活性气体。活性气体接着进入处理室中,并与基材上的表面氧化物反应而形成膜层。加热基材以蒸发膜层并将外延表面显露。外延表面实质上不具氧化物。外延层可接着形成于外延表面上。 
附图说明
图1显示根据本发明一个方面的多腔体处理系统; 
图2A至图2C显示根据本发明实施例的正在处理中的基材; 
图3是干式蚀刻处理室的一个实施例的局部剖面图; 
图4显示图3中所示盖组件的放大剖面图;以及 
图5显示图3中所示支持组件30的局部剖面图。 
具体实施方式
在阐述本发明的数种实施例之前,可了解的是,本发明并非限定于下列叙述中的结构或工艺步骤。本发明可有其它实施例或可以各种方式实施或进行。 
本发明涉及在基材上进行膜的外延沉积的系统、设备与方法。有关外延沉积的公知半导体工艺设备与技术并未在后文中阐述,以免不必要地混淆本发明。本领域技术人员应当了解工艺参数值会随着特定环境、基材种类等而有所不同。所以,不需要将所有可能数值与条件罗列出,因为那些数值可在了解本发明的原理之后轻易决定。 
本发明实施例涉及一种在使用等离子体进行外延沉积前清洁基材(例如,硅)的方法。本发明的方面可在丛集工具(cluster tool)中进行。通常,丛集工具为模块式系统,包含多个可进行各种功能的腔体,这些功能包含基材中心寻找与定位、除气、退火、沉积以及/或蚀刻。根据本发明实施例,丛集工具包含配置成进行本发明氧化物生长工艺的氧化腔体。丛集 工具的多个腔体可安装在中央传送腔体中,此传送腔体包含可在不同腔体间运送基材的机械臂。传送腔体通常维持在真空状态,且设有中间阶段,用以将基材从一个腔体传送至另一腔体和/或传送至位于丛集工具前端的负载锁定室。可用于本发明的两个公知丛集工具是可由美国加州圣克拉拉的应用材料公司(Applied Materials,Inc.)获得的 
Figure GSB00000665423900041
与 
Figure GSB00000665423900042
上述分阶段真空基材处理系统在由Tepman等人申请的于1993年2月16日授权的题为“分阶段真空晶片处理系统与方法”(Staged-VacuumWafer Processing System and Method)的美国专利No.5186718中揭示,在此以参考方式纳入所述专利的内容。然而,正确的腔体安置与组合可出于进行包含本清洁工艺的制造工艺特定步骤的目的而改变。 
图1显示根据本发明个方面的丛集工具或多腔体处理系统10的示例。处理系统10可包含一个或多个用于传送基材进出系统10的负载锁定室12、14。通常,因为系统10为真空状态,负载锁定室12、14可将进入系统10的基材吸住。第一机械臂20可在负载锁定室12、14与第一组的一个或多个基材处理室32、34、36、38之间传送基材。每个处理室32、34、36、38可进行数个基材处理操作。特别是,处理室32为可进行后文中阐述的干式蚀刻工艺的干式处理器,以及处理室34为外延沉积反应器。处理室36、38可进一步提供诸如循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、除气、定位以及其它基板工艺。 
第一机械臂20亦可传送基材进出一个或多个传送腔体42、44。传送腔体42、44可用以维持超高真空状况,同时使基材在系统10内传送。第二机械臂50可在传送腔体42、44与第二组的一个或多个处理室62、64、66、68之间传送基材。类似于处理室32、34、36、38,处理室62、64、66、68可进行各种基材处理操作,包含后文中阐述的干式蚀刻工艺、以及循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、外延沉积、蚀刻、预清洁、除气以及定位。若有需要,基材处理室32、34、36、38、62、64、66、68可从系统10中移出。 
参照图2A-2C,外延沉积工艺包含用以将表面氧化物72从基材70上移除的干式预清洁步骤,所述预清洁步骤之后为外延沉积工艺。最后,在进行外延沉积工艺之前,待处理基材70首先被加载至干式蚀刻处理器100中以接受温和的干式蚀刻工艺而移除表面氧化物72。干式清洁工艺将基材70表面上的外延表面74暴露,如图2B所示,此适于维持外延层的后续生长。干式蚀刻处理器100与相关干式蚀刻工艺在共同受让给本申请受让人的2005年2月22日提交的题为“前端线路制造的原位干式清洁腔体”(In-situ Dry Clean Chamber for Front End of Line Fabrication)的美国专利申请No.11/063645,该申请的美国专利公开号为US 20050230350,在此以参考方式纳入所述专利申请的内容。在干式清洁工艺完成之后,可利用机械臂20将基材70从干式蚀刻处理器100传送至外延沉积反应器34。因为整个系统10被负载锁定,基材70在传送中不会暴露于环境空气,所以不会有原生氧化物在实质无氧化物的外延表面74上生长。因此,当接着进行外延沉积工艺时,不需要密集的氢气预烘烤,或者仅需要持续时间非常短的氢气预烘烤。虽然在本说明书中特定使用硅作为基材,但是外延表面74可为任何适于支持外延沉积的任何表面,诸如,但不限于,硅锗(silicon germanium)、掺杂硅(doped silicon)、以及所有其它第四族、第三-五族、第二-六族半导体与合金。 
外延沉积工艺可在外延沉积反应器34中以化学气相沉积进行以形成外延层76于外延表面74上,此反应器例如是位于美国加州圣克拉拉的应用材料公司的EPI CENTURA反应器。基材70的外延表面74可暴露于沉积气体混合物形式的硅,此沉积气体包含硅(例如,四氯化硅(SiCl4)、三氯硅烷(SiHCl3)、二氯硅烷(SiH2Cl2)、一氯硅烷(SiH3Cl)、乙硅烷(Si2H6)、硅烷(SiH4)与载气(例如,氮气和/或氢气)。若基材需要使用含掺杂物的外延层76,则含硅气体亦可包含合适的含掺杂物气体,例如砷化氢(AsH3)、磷化氢(PH3)和/或二硼烷(B2H6)。 
若使用二氯硅烷(SiH2Cl2),在沉积过程中沉积反应器34内的压力可介于约500至约760托(Torr)。另一方面,若使用硅烷(SiH4)或其它 第四族氢化物,沉积反应器34的压力应低于100托。使用三氯硅烷(SiHCl3)的外延沉积则可在大气压力下进行。若外延沉积反应器34与干式蚀刻处理器100并未连结至公用的负载锁定系统,而是连结至个别单元(其中基材70是在环境条件下装载及取出)时,则在大气压力下利用SiHCl3的外延沉积可能较佳。若外延表面74因此暴露在环境空气中,在外延沉积工艺前可能需在外延沉积反应器34中先进行光氢气预烘烤以从外延表面74移除产生的原生氧化物。“环境空气”(ambient air)通常指制造室中的空气。然而,环境空气亦可包含具有足够氧气以造成外延表面74氧化的环境,氧化足以在后续外延工艺中产生缺陷或瑕疵而不符合工艺品质控制的要求。 
在外延沉积工艺中,外延表面74的温度被较佳地维持在足以防止含硅气体将多晶硅沉积于外延表面74上。外延表面74的温度可例如介于约1150℃至约450℃之间。 
一旦所需厚度的外延层76形成在外延表面74上,外延沉积反应器34可用惰性气体、氢气或混合气体清洁。基材70接着被冷却至低于700℃,并从外延沉积反应器34中移出以进行后续工艺。 
图3为处理室100的局部剖面图。处理室100可包含腔体主体101、盖组件140以及支持组件120。盖组件140位于腔体主体101的上端,以及支持组件120至少部分地设置在腔体主体101内。腔体主体101可包含狭缝阀(slit valve)开口111,所述开口形成于主体的侧壁上以提供到处理室100内部的通路。狭缝阀开口111可选择性地开关以使第一机械臂20进出腔体主体101的内部。 
腔体主体101可包含形成在主体上的通道102,以使热传送流体通过。热传送流体可为加热流体或冷却剂且可用来在处理与基材传送过程中控制腔体主体101的温度。热传送流体的示例包含水、乙二醇(ethylene glycol)或上述混合物。示例性的热传送流体液可包含氮气。 
腔体主体101进一步包含围绕支持组件120的衬垫108。衬垫108在维修与清洁时可移除。衬垫108可由诸如铝的金属或陶瓷材料所构成。不 过,衬垫108可为任何工艺兼容材料。衬垫108可以喷珠处理以增加沉积材料的附着度,由此防止脱落的材料对处理室100的污染。衬垫108可包含一个或多个孔洞109与在衬垫中形成的抽吸通道106,所述通道106与真空系统流体连通。孔洞109提供气体进入抽吸通道106的流动路径,可使位于处理室100内的气体排出。 
真空系统可包含真空泵104与节流阀105以调节通过处理室100的气体流。真空泵104耦接至位于腔体主体101上的真空端口107,因此泵与衬垫108上的抽吸通道106流体连通。 
孔洞109使抽吸通道106与腔体主体101内的处理区域110流体连通。处理区域110由盖组件140的下表面与支持组件120的上表面定义,且由衬垫108环绕。孔洞109可为均一的尺寸且平均分隔于衬垫108环境。不过可使用任何数量、位置、大小与形状的孔洞,且每个设计参数可取决于基材接收表面上的所需气体流图案而改变,此部分将于下文中阐述。此外,孔洞的大小、数量与位置被配置成实现离开处理室100的气体的均匀流动。再者,孔洞的大小与位置可被配置成提供快速或高容量抽气以便于气体从腔体100快速排出。例如,接近真空端口107的孔洞109的数量与大小可小于远离真空端口107的孔洞109。 
更详细地考虑盖组件140,图4显示位于腔体主体101上端的盖组件140的放大剖面图。参照图3与图4,盖组件140包含数个彼此堆叠的组件以在这些组件之间形成等离子体区域或腔室。盖组件140可包含垂直位于第二电极(下层电极)152上方的第一电极141(上层电极),在这两个电极之间限定了等离子体体积或腔室149。第一电极141连接至电源144,诸如RF电源;并且第二电极152接地,而在两个电极141、152之间有电容形成。 
盖组件140可包含一个或多个气体入口142(在图中仅显示一个),这些入口至少部分地形成于第一电极141的上部分143内。一种或多种气体通过一个或多个气体入口142而进入盖组件140。一个或多个气体入口142的第一端与等离子体腔室149流体连通,以及入口的第二端连接至一 个或多个上游气体源和/或其它气体输送组件,例如气体混合器。一个或多个气体入口142的第一端可在延伸段146的内径150的最高点处朝向等离子体腔室149开口。同样地,一个或多个气体入口142的第一端可在沿着延伸段146的内径150的任意高度处朝向等离子体腔室149开口。虽然在图中未显示,两个气体入口142可位于延伸段146的相对两侧以产生漩涡流图案或涡流至延伸段146,这有助气体在等离子体腔室149中混合。 
第一电极141可具有覆盖等离子体腔室149的延伸段146。延伸段146可与气体入口142流体连通。延伸段146可为具有内表面或直径150的环形构件,所述内表面或直径150从上部分147至下部分148逐渐增加。这样,第一电极141与第二电极152之间的距离是可以改变的。此改变的距离有助于控制等离子体在等离子体腔室149内生成与稳定。 
延伸段146可类似圆锥状或漏斗状,如图3与图4所示。延伸段146的内表面150可从上部分147逐渐向延伸段的下部分148倾斜。内径150的斜率或角度可随着工艺需求和/或处理限制条件而改变。延伸段146的长度或高度亦可随着特定工艺需求和/或限制而改变。内径150的斜率、或延伸段146的高度、或二者可随着工艺所需的等离子体而改变。 
在不受限于理论下,一般相信两个电极141、152之间的距离变化可使形成在等离子体腔室149内的等离子体得到所需的功率电平,以使等离子体在不能遍布整个等离子体腔室149时至少能维持在等离子体腔室149的一部分内。在等离子体腔室149内的等离子体因此与压力较少相关,这使得等离子体可生成且维持在较宽的操作窗口内。所以,更具重复性与可靠性的等离子体可在盖组件140内形成。 
第一电极141可由任何工艺兼容材料所构成,例如铝、电镀铝、镀铝镍、镀6061-T6铝的镍、不锈钢以及上述的组合或合金等。在一个或多个实施例中,整个或一部分第一电极141以镍包覆以降低不需要的粒子形成。较佳地,至少延伸段146的内表面150镀镍。 
第二电极152可包含一个或多个叠层板。当需要一个或多个平板时,平板应当彼此电连接。每个平板包含数个孔洞或气体通道以使一种或多种 气体通过等离子体腔室149。 
盖组件140进一步包含绝缘环(isolator ring)151,用以电性绝缘第一电极141与第二电极152。绝缘环151可由氧化铝或其它绝缘、工艺兼容材料所构成。较佳地,绝缘环151包围或基本上包围至少此延伸段146。 
第二电极152可包含顶板153、分配板(distribution plate)158与挡板(blocker plate)165,挡板165在处理室内将基材与等离子体腔室分开。顶板153、分配板158与挡板165被堆叠且置于连接至腔体主体101的盖缘164上,如图3所示。本领域技术人员皆知,铰接组件(hinge assembly)(未显示)可用来将盖缘(lid rim)164连接至腔体主体101上。盖缘164可包含嵌入式通道或出入口165以容纳热传送介质。取决于工艺需求,热传送介质可用于加热、冷却、或同时进行加热与冷却。 
顶板153包含数个形成在等离子体腔室149下方的气体通道或孔洞156,以使来自等离子体腔室149的气体流经顶板。顶板153可包含凹陷部分154,此部分适于容纳至少一部分的第一电极141。在一个或多个实施例中,孔洞156贯穿顶板153的截面且位于凹陷部分154下方。顶板153的凹陷部分154可为图4所示的阶梯状(stair stepped),以在顶板与第一电极之间提供较佳的密封接合。此外,顶板153的外径可加以设计以固定或安置在分配板158的外径上,如图4所示。一种O型环(o-ring)种类的密封垫(seal)-例如,人造橡胶O型环155被至少部分设置在顶板153的凹陷部分154内,以确保顶板与第一电极141之间的液密(fluid-tight)接触。同样地,O型环密封垫157可在顶板153的外径与分配板158之间提供液密接触。 
分配板158为大致圆盘状且包含数个孔洞161或通路以通过这些孔洞或通路输配气体。孔洞161的大小可加以调整且位于分配板158附近,以在设置有待处理基材70的处理区域110里提供可受控制且均匀的流体分布。再者,孔洞161通过减缓与改向气体流的速度分布曲线而避免气体直接冲击基材70表面,并可平均分配气体流以提供气体在基材70表面上的均匀分布。 
分配板158亦可包含形成于分配板的外径上的环状安装凸缘159。安装凸缘159的大小可加以调整以安置于盖缘164的上表面上。O型环种类的密封垫-例如,人造橡胶O型环-被至少部分地设置在环状装置凸缘159内以确保与盖缘164的液密接触。 
分配板158可包含一个或多个嵌入式通道或出入口160,用于容纳加热器或加热流体从而控制盖组件140的温度。电阻式加热组件可插入通道160内以加热分配板158。热耦可连接至分配板158以调节分配板的温度。热耦可用于回馈回路中以控制施加于加热组件上的电流,如本领域技术人员所熟知。 
或者,热传送介质可通过通道160。取决于腔体主体101内的处理需求,若有需要时,一个或多个通道160可包含冷却介质,以使分配板158的温度获得较佳控制。如上所指出,可使用任何热传送介质,例如氮气、水、乙二醇或上述的混合物。 
可利用一个或多个热灯管(未显示)来加热盖组件140。通常,热灯管被安置在分配板158的上表面附近,以利用辐射加热包含分配板的盖组件140的组件。 
挡板162为选择性使用的组件,且可设置在顶板153与分配板158之间。较佳地,挡板162被可移除式地安装于顶板153的下表面上。挡板162应该与顶板153有良好的热接触和电接触。利用螺栓或类似的固定器可将挡板162连接至顶板153上。挡板162亦可带有螺纹或以螺丝固定在顶板153的外径上。 
挡板162包含数个孔洞163以提供数个从顶板153至分配板158的气体通道。孔洞163的大小可加以调整且位于挡板162附近,以在分配板158上提供可受控制且均匀的流体分布。 
图5显示支持组件120的局部剖面图。支持组件120可至少部分地设置在腔体主体101内。支持组件120可包含支持构件122以支撑在腔体主体101内受处理的基材70(未在图中示出)。支持构件122可通过轴126而耦接至升降机构131上,此轴从形成在腔体主体101底表面上的中央开 口103处延伸。升降机构131可通过波纹管(bellow)132而柔性地密封腔体主体101,波纹管避免真空由轴126周围漏损。升降机构131使支持构件122在腔体主体101内的工艺位置与下传送位置之间垂直移动。传送位置略低于形成于腔体主体101侧壁上的狭缝阀111开口。 
在一个或多个实施例中,利用真空夹盘(vacuum chuck)来将基板70(未显示于图5中)固定在支持组件120上。顶板123可包含数个开孔124,此开孔与形成在支持构件122中的一个或多个凹槽127流体连通。通过设置在轴126内的真空导管(vacuum conduit)125以及支持构件122,凹槽127与真空泵(未显示)流体连通。在特定条件下,真空导管125可用以供给纯净的气体至支持构件122的表面上,以在基板70未设置于支持构件122上时防止支持构件表面发生沉积。真空导管125亦可在处理过程中传送净化气体以避免活性气体或副产物接触基板70的背侧。 
支持构件122可包含一个或多个孔(bore)129穿过支持构件形成以容纳升降插稍130。每个升降插稍130通常由陶瓷或含陶瓷的材料所构成,且用于基板处理与传输。每个升降插稍130被可抽取式地设置在孔129内。通过与设置腔体主体101内的环状升降环(annular lift ring)128接合,升降插稍130可在各自的孔129中移动。升降环128是可移动的,由此当升降环128位于上方位置时,升降插稍130的上表面位于支持构件122的基板支持表面上方。相反地,当升降环128位于下方位置时,升降插稍130的上表面位于支持构件122的基板支持表面下方。因此,当升降环128从下方位置移动至上方位置时,每个升降插稍130的一部分穿过支持构件122内的各个孔129。 
当激活时,升降插稍130推着基材70的下表面,从而将基材70抬升离开支持构件122。相反地,可停用升降插稍130以降低基材70,由此将基材安置于支持构件122上。 
支持组件120可包含设置在支持构件122附近的边环(edge ring)121。边环121为环状构件,适于覆盖支持构件122的外围且可保护支持构件122。边环121可位于支持构件122之上或附近,以在支持构件122的外 径与边环121的内径间形成环状净化气体通道133。环状净化气体通道133可与贯穿形成于支持构件122与轴126内的净化气体导管134流体连通。较佳地,净化气体导管134与净化气体供给(未显示)流体连通以提供净化气体至净化气体通道133中。在操作上,净化气体通过导管134而进入净化气体通道133中,且到达设置在支持构件310上的基材边缘附近。因此,结合使用边环121与净化气体可以避免在基板边缘和/或背侧的沉积。 
利用循环通过流体通道135内的流体即可控制支持组件120的温度,其中流体通道被内建于支持构件122的主体内。流体通道135与热传送导管136流体连通,所述热传送导管136贯穿设置于支持组件120的轴126内。流体通道135位于支持构件122周围以为支持构件122的基板接收表面提供均匀热传递。流体通道135与热传送导管136可传输热传送流体以加热或冷却支持构件122。支持组件120可进一步包含嵌入式热耦(embedded thermocouple)(未显示)以监控支持构件122的支持表面的温度。 
在操作上,支持构件122可升高至接近盖组件140附近以控制待处理基材70的温度。也就是,通过分配板158所发射的辐射可加热基材,而分配板158由加热组件474控制。或者,利用由升降环128激活的升降插稍130,亦可将基材70升高离开支持构件122并紧邻已加热的盖组件140。 
一种通过氨气(NH3)与三氟化氮(NF3)气体混合物以在处理室100中移除氧化硅的示例性干式蚀刻工艺将在后文中阐述。参照图3与图5,干式蚀刻处理的第一个步骤为置放基材70至处理区域110中。通常通过狭缝阀开口111而将基材70置放于腔体主体101内,且位于支持构件122的上表面上。基材70被夹固于支持构件122的上表面上,且边缘净化气体(edge purge)通过通道133。利用通过开孔124与凹槽127汲取真空以将基材吸附在支持构件122的上表面上,其中开孔与凹槽通过导管125而与真空泵流体连通。若支持构件122尚未位于处理位置上,则可将支持构件122升高至腔体主体101内的处理位置上。腔体主体101被较佳地维持在介于50℃与80℃之间,较佳地在大约65℃。通过将热传送介质传送通 过流体通道102即可维持腔体主体101的温度。 
利用传导热传送介质或冷却剂通过形成在支持组件120内的流体通道135,即可将基材70冷却至低于65℃,例如介于15℃至50℃之间。在一个实施例中,将基材的温度维持低于室温的状态。在另一个实施例中,将基材70的温度维持在介于22℃至40℃度之间。通常,支持构件122被维持低于大约22℃以达到上述指定的基材需求温度。为了冷却支持构件122,冷却剂通过流体导管135。较佳地使用连续的冷却剂流体以使支持构件122的温度获得较佳控制。 
接着将氨气与三氟化氮气体导入至腔体100中以形成清洁气体的混合物。导入腔体的每种气体含量可变动且可加以调整以顾及待移除氧化层72的厚度、待清洁基材70的型态、等离子体的体积容量、腔体主体101的体积容量、以及耦接至腔体主体101的真空系统的能力。在一个方面中,添加气体以提供氨气与三氟化氮的分子比率为至少1∶1的气体混合物。在另一个方面中,添加气体以提供氨气与三氟化氮的分子比率为至少3∶1的气体混合物。较佳地,输入至腔体100的混合气体的氨气与三氟化氮的分子比率为至少为5∶1至30∶1。更佳地,气体混合物的分子比率从大约5∶1(氨气比三氟化氮)至大约10∶1。气体混合物的分子比率亦可落在大约10∶1(氨气比三氟化氮)至大约20∶1的范围内。 
净化气体或载气亦可添加至气体混合物中。任何合适的净化气体/载气可加以使用,例如氩气、氦气、氢气、氮气或上述的组合。通常,整体气体混合物的体积为氨气与三氟化氮体积的大约0.05%至大约20%。剩下的比率即为载气。在一个实施例中,在导入活性气体之前,首先将净化气体或载气导入腔体主体101中以稳定腔体主体101内的压力。 
腔体主体101内的操作压力可加以改变。通常,所述压力维持在介于约500毫托至约30托之间。较佳地,所述压力维持在介于约1托至约10托之间。更佳地,在腔体主体101内的操作压力维持在介于约3托至约6托之间。 
介于约5瓦(Watts)至约600瓦(Watts)的RF功率被施加至第一电极 141上以激发位于等离子体腔室149内的气体混合物等离子体。较佳地,RF功率小于100瓦。更佳地,功率施加时的频率相当低,例如小于100千赫(kHz)。较佳地,此频率在介于约50千赫与约90千赫的范围内。因为下层电极153、挡板162与分配板158,在等离子体腔室149内激发的等离子体并不会接触位于处理区域110内的基材70,反而被局限在等离子体腔室149内。等离子体因此可相对于处理区域110而远程地形成在等离子体腔室149内。即,处理室100提供两个不同区域:等离子体腔室149与处理区域110。在位于等离子体腔室149内的等离子体看来,这些区域彼此间并未沟通。更明确而言,由等离子体产生的活性物种可经由孔洞156、挡板162的孔洞163而离开等离子体腔室149,并经由分配板158的孔洞161而进入处理区域110中。 
等离子体能量将氨气与三氟化氮气体解离成反应物种,此等物种结合在一起以形成气相的高度活性的氟化铵(NH4F)化合物和/或氟化氢铵(NH4F·HF)。上述分子接着通过开孔156、163与161而与基材70的氧化层72反应。在一个实施例中,首先导入载气至腔体100中;载气的等离子体产生于等离子体腔室149中;接着添加活性气体、氨气与三氟化氮至等离子体中。如前述,形成在等离子体腔室149内的等离子体并未接触位于处理区域110中的基材70。 
在不受限于理论下,一般相信蚀刻气体、氟化铵(NH4F)和/或氟化氢铵(NH4F·HF)与氧化硅表面72反应形成六氟硅酸铵((NH4)2SiF6)、氨与水的产物。氨与水在处理条件时为蒸气且可利用真空泵104而在腔体100中移除。尤其,在挥发气体经由衬垫108上的孔洞109而进入抽吸通道106。六氟硅酸铵薄膜则留在基材70表面。反应机制可概述成下列反应式: 
NF3+NH3→NH4F+NH4F·HF+N2
6NH4F+SiO2→(NH4)2SiF6+H2
(NH4)2SiF6+热(heat)→NH3+HF+SiF4
在薄膜形成于基材表面上之后,支撑基材的支持构件122会升高至非常接近热分配板158的退火位置上。来自分配板158的辐射热应足够高以将六氟硅酸铵薄膜解离或升华成挥发性四氟化硅(SiF4)、氨与氟化氢产物。利用上述的真空泵104来从腔体100中移除挥发性产物。实际上,薄膜被加热或挥发而离开基材70,剩下暴露的外延表面74。通常,75℃或更高的温度可有效地将薄膜从基材70上移除。较佳地,可使用100℃或更高的温度,例如介于约115℃至约200℃之间。 
将(NH4)2SiF6薄膜解离成挥发性成分的热量由分配板158所传导或辐射。如上述般,加热组件160可直接与分配板158耦接,且可加热分配板158以及与分配板158热接触的组件至介于约75℃至250℃之间的温度。在一个方面中,分配板158可加热至介于约100至约200℃之间,例如约120℃。 
升降机构131可朝向分配板158下表面的方向升高支持构件122。在升降步骤过程中,基材70被固定在支持构件122上,例如通过上述的真空夹盘或静电夹盘。或者,通过升降环128升高升降插稍130,可将基材70抬升离开支持构件122并置于非常接近热分配板158处。 
具有薄膜的基材70的上表面与分配板158之间的距离并非关键因素,那只是在一般实验上需要处理的事情。本领域技术人员能轻易决定可有效气化薄膜且不会伤害下层基材70的所需间距。然而,一般相信,介于大约0.254毫米(10密尔)与5.08毫米(200密尔)间的间距为上述的有效距离。 
一旦将薄膜从基材70移除,外延表面74将暴露且基材70准备进行后续外延沉积工艺。干式蚀刻处理器32被清洁且排空。通过降低基材70至传送位置上、将基材70去静电、并传送基材70通过狭缝阀111开口而将干净的基材70从腔体主体101上移除。第一机械臂20将基材70从干式蚀刻处理器32中移至外延沉积反应器34中。因为基材70维持在负载锁定系统10中,基材70并未在传送过程中暴露至任何环境空气下。也就是,等离子体腔室149、处理区域110与外延沉积反应器34彼此间真空 连接以防止不必要的氧气进入上述任何区域中。因此外延表面74不会被氧化物污染,且在基材70加载外延沉积反应器34中时维持干净的暴露。外延层76可因此如上述般即时生长于外延表面74上。 
通过用干式清洁程序取代HF-last的湿式清洁步骤,就可以在单一负载锁定系统10中进行整个外延沉积工艺。等待时间即可以减少。再者,一般相信上述的干式清洁工艺具有较少的下切(undercut)问题,因为在处理氮氧化硅基材时所述工艺横向蚀刻氧化物,而HF湿式蚀刻则为向下蚀刻。然而,可了解的是工艺步骤可于任意时间改变,尤其是在外延沉积之前马上进行清洁步骤时,会产生表面不能进行外延沉积的风险。特定元素例如氧、氟、氯或氮的较高水平可能会对外延处理有不利影响。 
通过实验,根据上述工艺,可利用公知HF-last清洁硅基材中的第一组基材,以及用等离子体干式清洁工艺处理第二组基材。等离子体干式清洁工艺利用氨气与三氟化氮气体以及激发等离子体以转换成活性物种,这些物种结合在一起以形成气相且高度活性的氟化铵(NH4F)化合物和/或氟化氢铵(NH4F·HF),用此来清洁第二组基材。外延沉积在850℃、750℃、700℃与650℃的沉积温度下进行。接着以X光衍射(XRD)与次级离子质量光谱仪(SIMS)以决定在外延薄膜/基材接口的元素水平。XRD分析在所有沉积温度中被检视的生长速度与薄膜组成,结果显示出以HF-last清洁的第一组基材与等离子体干式清洁的第二组基材之间并无差异。在大于等于800℃进行外延沉积时,HF-last清洁基材与等离子体干式清洁基材的SIMS分布曲线则有不同。然而,利用干式清洁蚀刻工艺且进行温度小于等于750℃的外延沉积时,可在基材/外延界面处观察到较高水平的O、F、Cl、与N。在干式清洁基材的沉积之前利用氢氯酸原位烘烤不会降低在上述界面处的残余元素。不过,此有限的实验显示使用等离子体的干式清洁可取代在外延沉积之前使用的HF-last工艺。需要进一步调整和改进设备与工艺以降低在低于750℃时处理基材所观察到的O、F、Cl、与N。 
一般相信除了上述提及的活性物种外,还有其它物种可用在干式蚀刻 步骤中;例如氢气等离子体有助于降低残留元素。即,其它种类的气体可输入至气体输送系统220中且形成远离基材70的等离子体。等离子体可形成活性物种,所述物种与基材70上的氧化物表面72反应,由此将外延表面74暴露。可依需求加热或冷却基材70以助于移除氧化层72。 
虽然本发明结合特定实施例说明如上,但是可了解的是实施例仅为说明本发明的原则与应用之用。虽然前文已阐述本发明的具体实施例,在不脱离本发明的基本精神与范围下,当可设计出本发明的其它具体实施例,且本发明的范围是由所附的权利要求所界定。 

Claims (13)

1.一种外延沉积方法,包含:
导入具有氧化层的基材至处理室中;
导入气体混合物至等离子体腔室中,其中所述气体混合物包括氨气(NH3)与三氟化氮(NF3);
激发所述气体混合物以在所述腔室中形成活性气体的等离子体,其中所述活性气体包括氟化铵(NH4F)或氟化氢铵(NH4F·HF);
导入所述活性气体至所述处理室中;
使所述基材与所述活性气体反应以形成挥发薄膜,当所述基材与所述活性气体反应时,同时使所述基材维持在低于65°C的温度;
将所述基材升高至非常接近热气体分配板的退火位置上,以加热所述基材至至少为75°C的第一温度,以蒸发所述挥发薄膜,进而通过移除所述氧化层暴露出所述外延表面;
降低在所述外延表面处形成的氧、氟、氯和氮的水平;以及
在所述外延表面上形成外延层,所述外延表面在外延沉积期间具有介于450℃至1150℃之间的温度。
2.如权利要求1所述的方法,其中所述等离子体未接触所述基材。
3.如权利要求2所述的方法,其中所述等离子体腔室与所述处理室分开。
4.如权利要求1所述的方法,其中形成所述外延层的步骤包含移动所述基材至外延沉积反应器中而不将所述基材暴露于环境空气。
5.如权利要求4所述的方法,其中所述等离子体腔室、所述处理室以及所述外延沉积反应器是真空连接至多腔体工艺系统。
6.如权利要求1所述的方法,其中所述第一温度至少为100°C。
7.如权利要求6所述的方法,其中所述第一温度介于100°C至200°C之间。
8.如权利要求7所述的方法,其中所述挥发薄膜包含六氟硅酸铵(ammonium hexafluorosilicate,(NH4)2SiF6)。
9.一种多腔体设备,包含:
外延沉积反应器;
干式蚀刻处理器,其与所述外延沉积反应器间是真空紧密连接,所述干式蚀刻处理器包含:
处理室,用以固定基材;以及
等离子体腔室,用以于远离所述处理室处形成等离子体,其中所述等离子体腔室耦接至数个提供氨气(NH3)与三氟化氮(NF3)的源,所述干式蚀刻处理器用以形成活性气体以及形成氢气等离子体,所述活性气体包括氟化铵(NH4F)或氟化氢铵(NH4F·HF),所述氢气等离子体用以降低基板的表面上的氧、氟、氯和氮的水平;
加热元件,所述加热元件耦接到气体分配板,所述加热元件可被激励以加热所述气体分配板、并且将所述基板的外延表面加热到介于450℃至1150℃之间的温度;以及
传送机械手臂,以由所述干式蚀刻处理器传送基材至所述外延沉积反应器中。
10.如权利要求9所述的多腔体设备,其中所述处理室包含基材支持件,所述基材支持件具有一个或多个可冷却基材的流体通道;以及盖组件的至少下部分,其与所述等离子体腔室间流体连通,所述盖组件的下部分用以对流加热所述基材。
11.如权利要求10所述的多腔体设备,其中所述等离子体腔室包含第一电极以及第二电极,第一电极耦接射频源(radio frequency source)、微波源或直流电源,且所述第二电极接地并与所述盖组件的下部分间流体连通。
12.如权利要求11所述的多腔体设备,其中所述支持组件可在所述腔体中接近所述盖组件的下部分的加热位置,与远离所述盖组件的下部分的蚀刻位置间移动。
13.如权利要求12所述的多腔体设备,其中所述支持件包含具有第一与第二端的基材支持表面,以及一个或多个气体通道,所述气体通道是与所述第一端以及位在所述第二端的洁净气体源或真空源流体连通。
CN2007800044987A 2006-02-03 2007-01-16 外延沉积工艺及装置 Active CN101379214B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/346,804 US7494545B2 (en) 2006-02-03 2006-02-03 Epitaxial deposition process and apparatus
US11/346,804 2006-02-03
PCT/US2007/001031 WO2007092130A2 (en) 2006-02-03 2007-01-16 Dry etch and epitaxial deposition process and apparatus

Publications (2)

Publication Number Publication Date
CN101379214A CN101379214A (zh) 2009-03-04
CN101379214B true CN101379214B (zh) 2013-12-18

Family

ID=38202709

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800044987A Active CN101379214B (zh) 2006-02-03 2007-01-16 外延沉积工艺及装置

Country Status (7)

Country Link
US (1) US7494545B2 (zh)
EP (1) EP1994201A2 (zh)
JP (3) JP2009525611A (zh)
KR (2) KR20080099305A (zh)
CN (1) CN101379214B (zh)
TW (1) TWI355685B (zh)
WO (1) WO2007092130A2 (zh)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
WO2010102089A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Methods for depositing layers having reduced interfacial contamination
WO2010123877A2 (en) * 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
CN101559946B (zh) * 2009-04-27 2011-01-05 浙江大学 利用等离子体制备硅纳米颗粒的方法及装置
KR101051284B1 (ko) * 2009-06-04 2011-07-22 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8263468B2 (en) 2010-04-24 2012-09-11 International Busienss Machines Corporation Thin body semiconductor devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
JP6022166B2 (ja) 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20130004681A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
JP5917861B2 (ja) 2011-08-30 2016-05-18 株式会社Screenホールディングス 基板処理方法
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN104584188B (zh) * 2012-08-08 2017-05-31 应用材料公司 连结型真空处理工具和使用该工具的方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014161199A1 (zh) * 2013-04-03 2014-10-09 Wang Dongjun 等离子体增强原子层沉积设备
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015020792A1 (en) 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
CN105793955B (zh) * 2013-11-06 2019-09-13 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US20160189958A1 (en) * 2014-12-30 2016-06-30 The Board Of Trustees Of The University Of Arkansas HETEROEPITAXIAL GROWTH OF Ge-Sn ALLOYS
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP2018532258A (ja) * 2015-08-17 2018-11-01 オントス イクイップメント システムズ インコーポレイテッド 大気圧プラズマによる準備工程を使用するエピタキシャル成長
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI782220B (zh) 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
CN105239056B (zh) * 2015-10-27 2018-01-26 上海集成电路研发中心有限公司 一种原子层沉积设备以及方法
WO2017095573A1 (en) * 2015-12-04 2017-06-08 Applied Materials, Inc. Methods and solutions for cleaning ingaas (or iii-v) substrates
US10096473B2 (en) * 2016-04-07 2018-10-09 Aixtron Se Formation of a layer on a semiconductor substrate
US9972968B2 (en) * 2016-04-20 2018-05-15 Trumpf Photonics, Inc. Passivation of laser facets and systems for performing the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6745166B2 (ja) * 2016-08-12 2020-08-26 株式会社アルバック 成膜方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US20180076065A1 (en) * 2016-09-15 2018-03-15 Applied Materials, Inc. Integrated system for semiconductor process
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
EP3559307B1 (en) * 2017-02-08 2022-08-03 Picosun Oy Deposition or cleaning apparatus with movable structure and method of operation
WO2018148189A1 (en) * 2017-02-10 2018-08-16 Applied Materials, Inc. Method and apparatus for low temperature selective epitaxy in a deep trench
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190006777A (ko) * 2017-07-11 2019-01-21 주식회사 유진테크 기판 처리 장치
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI663674B (zh) 2017-07-25 2019-06-21 漢民科技股份有限公司 用於半導體製程之腔體蓋與頂板之組合體及成膜裝置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107527870B (zh) 2017-08-29 2023-08-25 惠科股份有限公司 一种阵列基板的制作方法及其制作设备
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
JP2020532114A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 一体型エピタキシシステム高温汚染物質除去
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112011788A (zh) * 2019-05-29 2020-12-01 成都珠峰永明科技有限公司 硅异质结太阳能电池本征非晶硅膜层的制备方法
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
JP7485729B2 (ja) 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長のための統合湿式洗浄
CN115681653A (zh) * 2021-07-29 2023-02-03 北京北方华创微电子装备有限公司 半导体工艺设备及其进气装置
CN113745131B (zh) * 2021-08-31 2024-01-16 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备
US11965241B2 (en) * 2021-09-03 2024-04-23 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers
CN116092953B (zh) * 2023-03-07 2023-07-18 天津中科晶禾电子科技有限责任公司 一种晶圆键合装置、方法及复合衬底组件

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
EP1568797A2 (en) * 2004-02-26 2005-08-31 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
JPH01295412A (ja) * 1988-05-24 1989-11-29 Sumitomo Metal Ind Ltd プラズマ気相成長装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
JP2983244B2 (ja) * 1990-03-29 1999-11-29 株式会社東芝 表面処理方法
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3215898B2 (ja) * 1992-04-28 2001-10-09 日本電信電話株式会社 プラズマcvd法およびプラズマcvd装置
EP0637063B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH08191053A (ja) * 1995-01-10 1996-07-23 Kawasaki Steel Corp 半導体装置およびその製造方法
JPH09115860A (ja) * 1995-10-20 1997-05-02 Sony Corp 電子装置およびその製造方法
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US6562128B1 (en) * 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6342453B1 (en) * 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6494959B1 (en) * 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP3954833B2 (ja) * 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
JP2003282530A (ja) 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6958286B2 (en) * 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
EP1568797A2 (en) * 2004-02-26 2005-08-31 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
CN1681079A (zh) * 2004-02-26 2005-10-12 应用材料有限公司 用于前段工艺制造的原地干洗腔

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
说明书【7】-【10】段、【56】-【85】段、【101】-【104】段
说明书第2页最后一段,说明书第3页1-3段、说明书附图3a、4a、4b、4c、6.
说明书第5栏第53行-第6栏第53行
说明书附图3a、4a、4b、4c、6.
附图1-3,7.

Also Published As

Publication number Publication date
KR20080099305A (ko) 2008-11-12
US7494545B2 (en) 2009-02-24
JP2009525611A (ja) 2009-07-09
JP2013175745A (ja) 2013-09-05
US20070181057A1 (en) 2007-08-09
EP1994201A2 (en) 2008-11-26
TWI355685B (en) 2012-01-01
JP6272934B2 (ja) 2018-01-31
KR101201964B1 (ko) 2012-11-20
WO2007092130A2 (en) 2007-08-16
JP2016167610A (ja) 2016-09-15
TW200737310A (en) 2007-10-01
WO2007092130A3 (en) 2007-09-27
KR20110019445A (ko) 2011-02-25
CN101379214A (zh) 2009-03-04

Similar Documents

Publication Publication Date Title
CN101379214B (zh) 外延沉积工艺及装置
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
KR102619574B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US10199215B2 (en) Apparatus and method for selective deposition
TWI641022B (zh) 於磊晶成長前預清潔基板表面之方法及設備
US20190062904A1 (en) Integrated epitaxy system high temperature contaminant removal
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
US20220298636A1 (en) Methods and apparatus for processing a substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant