TWI355685B - Epitaxial deposition process and apparatus - Google Patents

Epitaxial deposition process and apparatus Download PDF

Info

Publication number
TWI355685B
TWI355685B TW096102236A TW96102236A TWI355685B TW I355685 B TWI355685 B TW I355685B TW 096102236 A TW096102236 A TW 096102236A TW 96102236 A TW96102236 A TW 96102236A TW I355685 B TWI355685 B TW I355685B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
chamber
plasma
epitaxial
Prior art date
Application number
TW096102236A
Other languages
English (en)
Other versions
TW200737310A (en
Inventor
Andrew Lam
Yihwan Kim
Satheesh Kuppurao
See-Eng Phan
Xinliang Lu
Chien-Teh Kao
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200737310A publication Critical patent/TW200737310A/zh
Application granted granted Critical
Publication of TWI355685B publication Critical patent/TWI355685B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

1355685 九、發明說明: 【發明所屬之技術領域】 本發明係關於磊晶沉積方法、系統以及設備。特別係 關於一種利用清潔製程以於磊晶製程前移除表面氧化物之 磊晶沉積方法、系統與設備。 【先前技術】
磊晶層為成長於結晶基材上的一層結晶薄膜。下層基 材當作長膜的墊層(t e m p 1 a t e ),以使磊晶層的結晶圖案特 性可由下層結晶基材所定義。也就是,結晶基材為磊晶成 長提供結晶圖案種子。基材諸如為單晶矽(monocrystalline silicon)、石夕鍺(silicon germanium)或絕緣層上覆石夕晶圓 (SOI wafer )。
通常使用化學氣相沉積(CVD )以長成磊晶層。將基 材晶圓置入CVD反應器中,並接著以非反應性氣體一例 如,氦氣(He )、氬氣(Ar )、氮氣(N2 )或氫氣(H2) —清 洗。接著升;高反應器的溫度,並將載氣與反應氣體混合導 入反應器中。反應氣體可包含,但不限於,矽甲烷(SiH4)、 矽乙烷(Si2H6 )、矽丙烷(Si3H8 )、二氣矽烷(SiH2Cl2 )、 三氣矽烷(SiHCl3 )以及四氣矽烷(SiCl4 )。亦可導入摻 雜氣體,諸如砷化氫(AsH3 )、磷化氫(PH3 )與二硼烷 (B2H6 )。載氣通常為氫氣。當達到磊晶的所需厚度時, 可再次使用非反應氣體以清潔反應器,同時降低反應器溫 度。 5 1355685
然而’為了讓磊晶製程成功進行,必須將結晶基材 的氧化物減少至最低。若基材的表面氧氣含量太高,將 影響磊晶成長製程,因.為氧原子會妨礙沉積材料在種基 上的結晶位置。例如’在矽磊晶沉積十,結晶基材上的 多氧氣會因為氧原子的原子大小叢集而使矽原子偏離其 晶位置。隨著磊晶層成長厚度增加,局部原子錯置會造 後續原子順序的錯誤。這樣的現象也稱做堆疊錯 (stacking fault)或稱做凸塊缺陷(hillock defects)。 材表面可能發生氧化,例如,當基材在不同工作平台間 送而暴露在大氣中時。 為了避免因表面氧化而造成的缺陷,在磊晶沉積製 之前應該先將殘餘的表面氧氣由結晶基材上移除。例如 基材可在氫氣環境中以超過10 00度的溫度退火,此步驟 稱為氫氣預烘烤(hydrogen pre-bake)。然而,這樣的高 製程較為昂貴。因此,在將基材置入磊晶反應器前係使 移位(ex-situ )溼式稀釋氫氟酸蝕刻以取代退火◊此製 有時係指「以氫氟酸為最後步驟」(HF-last)的製程。在 潤之後可乾糙基材,並以氫氣(用於矽基材時)鈍化, 氫氣對基材而言,可將減緩原生氧化物成長的矽-氫 (Si-H bond)分佈在基材上,此步驟可發生於晶圓由濕 氫氟酸蝕刻溶液轉移至磊晶反應器時暴露在大氣的過 中。由於仍有微量的氧化作用發生(假設暴露至大氣的 會降至最小)’可原位(in-si tu )進行反應性光氫氣預烘却 例如在小於9 0 0 °C的溫度下進行3 0至1 2 0秒。在預烘烤 上 會 材 過 磊 成 誤 基 傳 程 ) 可 溫 用 程 浸 而 鍵 式 程 機 !, 步 6 1355685 驟之後,可進行磊晶沉積製程。
雖然以氫氟酸為最後步驟(HF-last)的預清潔步 有效移除基材表面上的原生氧化物,但是此步驟導入 複雜因素於製造製程中。第一,由於為濕式處理,氫 最後步驟會增加濕式清潔平台與磊晶沉積反應器之間 的等待時間(queue time)。第二,在HF-last之預清 驟中使用稀釋氫氟酸溶液之等向蝕刻會向下 (undercut)氧化物。最後,於碎基材中使用的氫氟 液之選擇性會隨著氧化物-氮化物-矽蝕刻速率而升高 少上述發生在製程中的任何議題即可改進生產量。因 要將濕式氫氟酸預清潔步驟取代成乾式預清潔步驟, 式清潔步驟可輕易地整合至「零周圍空氣接 (zero-ambient exposure )的製程系統中。 【發明内容】
驟可 某些 氟酸 原有 潔步 切除 酸溶 。減 此需 而乾 觸」 式蝕 體, 式蝕 物由 钮刻 氣。 在本發明的一個態樣中,多腔體製程設備包含乾 刻處理器,該乾式蝕刻處理器包含.用以形成電漿之腔 而電漿可與磊晶沉積反應器真空連結。利用電漿的乾 刻製程係在乾式蝕刻處理器的基材上進行,以將氧化 基材上移除,並使此磊晶表面裸露。基材接著由乾式 處理器中轉移至磊晶沉積反應器中而不需接觸周圍空 磊晶沉積反應器中接著可形成磊晶層於磊晶表面。 在另一個態樣中,乾式蝕刻製程包含設置待清潔基材 1355685 於製程腔體中。導入氣體混合物進入與製程腔體分開的電 漿腔室中。電漿在此電漿腔室中形成以激發氣體並藉此形 成反應氣體。反應氣體接著進入製程腔體中,並與基材上 的表面氧化物反應而形成膜層。加熱基材以蒸發膜層並將 磊晶表面顯露。磊晶表面係實質上不具氧化物。磊晶層可 接著形成於磊晶表面上。
【實施方式】 在闡述本發明之數種實施例之前,可瞭解的是,本發 明並非限定於下列敘述中的結構或製程步驟。本發明可有 其他實施例或可以各種方式實施或進行。
本發明有關於基材上薄膜磊晶沉積的系統、設備與方 法。有關磊晶沉積的習知半導體製程設備與技術並未在後 文中闡述,以免不必要地模糊本發明。此技藝者當瞭解製 程參數值會隨著特定環境、基材種類等而有所不同。所以, 不需要將所有可能數值與條件條列出,因為那些數值可在 瞭解本發明的原理之後輕易.決定。 本發明實施例有關_種於使用電漿進行磊晶沉積前之 清潔基材(例如,矽)方法。本發明的態樣可於叢集工具 (cluster tool)中進行。通常,叢集工具為組合式系統, 其包含多個可進行各種功能的腔體,這些功能包含基材中 心尋找與定位、除氣、退火、沉積以及/或蝕刻。根據本發 明實施例,叢集工具包含用來進行氧化物成長製程的氧化 腔體。叢集工具的多個腔體可設置在中央傳送腔體中,此 8 1355685
傳送腔體包含可運送基材於不同腔體間的機械手臂。傳送 腔體通常維持在真空狀態,且設有一中間階段以將基材自 一個腔艎傳送至另一者以及/或傳送至一負載閉鎖腔體(位 於叢集工具前端)。兩個可用於本發明中的習知叢集工具係 可由加州聖4克拉拉之應用材料公司(Applied Materials, Inc.,of Santa Clara,Calif.)獲得的 Centura® 與 Endura®。 上述階段真空基材製程系統係闡述於由Tepman等人申請 之美國專利號51867 18中’該案名稱為「階段真空晶圓製 程系統與方法」(Staged-Vacuum Wafer Processing System and Method ),該案於1993年2月16日獲證,在此係以參 考方式納入該案的内容。然而,正確的腔體安置與組合可 視製程進行的特定步驟而改變,其包含本清潔製程。 第1圖顯示根據本發明一個態樣之叢集工具或多腔體 製程系統1 0的範例。製程系統1 〇可包含一或多個用於傳 送基材進出系統10的負載閉鎖腔體(load lock chamber)
12、14。通常,因為系統10為真空狀態,負載閉鎖腔體 12、14可將進入系統1〇的基材吸住。第一機械手臂20可 將基材傳送於負載閉鎖腔體12、14與第一組的一或多個基 材製程腔體32、34、36、38之間》每個製程腔趙32、34、 36、38可進行數個基材處理操作。特別是,製程腔體32 為乾式處理器’其可進行後文中闌述的乾式蝕刻製程,以 及製程腔體34為磊晶沉積反應器。製程腔體36、38可進 一步提供諸如圓形層沉積(cyclical layer deposition, CLD)、原子層沉積(ALD )、化學氣相沉積(CVD )、物理 1355685
氣相沉積(PVD)、#刻、預清潔、除氣(degas)、定位 他基板製程。 第一機械手臂 20亦可傳送基材進出一或多個傳 體42、44。傳送腔體42、44可用以維持超高真空條 同時使基材在系統10内傳送。第二機械手臂50可傳 材於傳送腔體42、44與第二組之一或多個製程腔體 64、66、68之間。類似製程腔體32、34、36、38,製 體62、64、66、68可進行各種基材處理操作,包含後 闡述的乾式蝕刻製程、以及圓形層沉積(cyclical deposition, CLD)、原子層沉積(ALD)、化學氣相 (CVD )、物理氣相沉積(PVD )、磊晶沉積、蝕刻、 潔、除氣(degas)與定位。若有需要,基材製程腔體32, 36、 38、 62、 64、 66、 68可由系統10中移出。 參照第2A-2C圖,磊晶沉積製程包含乾式預清潔 以將表面氧化物72由基材70上移除,該預清潔步驟 為磊晶沉積製程。最後,在進行磊晶沉積製程之前, 理基材70首先被載入至乾式蝕刻處理器100中以接 和、乾式蝕刻製程而移除表面氧化物72。乾式清潔製 基材70表面上的磊晶表面74裸露,如第2B圖所示 適於維持磊晶層之後續長成。乾式蝕刻處理器1 〇〇與 乾式蝕刻製程係闡述於共同受讓予本案受讓人之美國 申請號1 1 /063645中,該案於2005年2月22曰申請 稱為「前端線路製造的原位乾式清潔腔體」(In-situ Clean Chamber for Front End of Line Fabrication),其 與其 送腔 件, 送基 62、 程腔 文中 layer 沉積 預清 ‘ 34、 步驟 之後 待處 受溫 程將 ,此 相關 專利 ,名 Dry 美國 10 1355685
專利公開號為U S 2 Ο Ο 5 Ο 2 3 Ο 3 5 Ο,在此係以參考方式納 案的内容。在乾式清潔製程完成之後,可利用機械手; 將基材70由乾式蝕刻處理器100傳送至磊晶沉積反 34中。因為整個系統10為負載閉鎖,基材70在傳送 不會暴露於周圍空氣大氣,所以不會有原生氧化物成 實質無氧化物的磊晶表面74上。因此,當接著進行磊 積製程時,不需要密集的氫氣預烘烤,或者僅需要非 的氫氣預烘烤。雖然在本說明書中特定使用矽作為基 但是磊晶表面 7 4可為任何適於支持磊晶沉積表面 料,諸如,但不限於,石夕錯(silicon germanium)、摻 (doped silicon)、與所有第四族、第三-五族、第二-半導體與其合金。 磊晶沉積製程可在磊晶沉積反應器3 4中以化學 沉積進行以形成蟲晶層7 6於蟲晶表面7 4上,此反應 如是位於加州聖塔克拉拉之應用材料公司的 CENTURA反應器。基材70的磊晶表面74可接觸以 氣體混合型態的矽,此沉積氣體包含矽(例如.,四氯 (SiCl4 )、三氯矽烷(SiHCl3 )、二氣矽烷(SiH2Cl2 ) 氯矽烷(SiH3Cl )、乙矽烷(Si2H6 )、矽烷(SiH4 )與 (例如,氮氣以及/或氫氣)。若基材需要使用含摻雜 磊晶層7 6,則含矽氣體亦可包含合適的含摻雜物氣體 如石申化氫(AsH3)、磷化氫(PH3)以及/或二硼烷(B2H6)。 若使用二氣矽烷(S i Η 2 C 12 ),在沉積過程中沉積 入該 f 20 應器 當中 長於 晶沉 常短 材, 的材 雜矽 六族 氣相 器例 EPI 沉積 化矽 、 ——· 載氣 物的 ,例 反應 11 1355685
器34内的壓力可介於約500至約760托(Torr)。另一; 若使用矽烷(SiH4 )或其他第四族混合,沉積反應i 的壓力應低於100托。使用三氯矽烷(SiHCl3)的磊 積則可於大氣壓力下進行。若磊晶沉積反應器3 4與乾 刻處理器1 00並未連結至一共同、負載閉鎖系統,而 結至個別單元(其中基材70係在周圍條件下作裝載 出)時,則在大氣壓力下利用SiHCl3的磊晶沉積可能喪 若磊晶表面7 4因此暴露在周圍空氣中,在磊晶沉積製 可能需於磊晶沉積反應器3 4中先進行光氫氣預烘烤 磊晶表面 74上移除產生的原生氧化物。「周圍3 (ambient air)通常指於製造室中的空氣。然而,周 氣亦可包含具有足夠氧氣以造成磊晶表面 74氧化 境,氧化足以在後續磊晶製程中產生缺陷或瑕疵而不 合製程品質控制的要求。 在磊晶沉積製程中,磊晶表面7 4的溫度係較佳地 在足以避免含梦氣體沉積多晶碎於蟲晶表面74上。蟲 面7 4的溫度可例如介於約1 1 5 0 °C至約4 5 0 °C之間。 一旦需求厚度的磊晶層76形成在磊晶表面74上 磊晶沉積反應器3 4可以惰性氣體清潔,例如氫氣或其 氣體。基材7 0接著被冷卻至低於7 0 0 ° C,並由磊晶沉 應器3 4中移出以進行後續製程。 第3圖為製程腔體100的局部剖面圖。製程腔體 可包含腔體主體1 0 1、蓋組件1 4 0以及支持組件1 2 0。 件140位於腔體主體101的上端,以及支持組件120 r面, ;34 晶沉 式蝕 是連 及取 .佳。 程前 以由 氣」 圍空 的環 能符 維持 晶表 時, 混合 積反 100 蓋組 至少 12 1355685 部分設置在腔體主體101内。腔體主體101可包含縫閥(slit valve )開口 1 1 1,其形成於主體的側壁上以提供對製程腔 體1 00内部的存取。缝閥開口 1 1 1可選擇性開關以使第一 機械手臂20進出腔體主體101的内部。
腔體主體101可包含形成其上之通道102,以使熱傳 送流體通過。熱傳送流體可為加熱流體或冷卻劑且可用以 在製程與基材傳送過程中控制腔體主體101的溫度。熱傳 送流體的範例包含水、乙二醇(ethylene glycol)或上述 混合物。範例的熱傳送流體液可包含氮氣。
腔體主體101更包含襯墊108,圍繞支持組件120。襯 墊108在維修與清潔時可移除。襯墊108可由諸如鋁之金 屬或陶瓷材料所構成。不過,襯墊1 〇 8可為任何製程相容 材料。襯墊1 0 8可以喷珠處理以增加沉積材料的附著度, 藉此防止脫落的材料對製程腔體100之污染。襯墊108可 包含一或多個孔洞109與形成其上的柚氣通道106,其與 真空系統間流體連通。孔洞1 0 9提供氣體進入抽氣通道1 0 6 的流動路徑,其可使位於製_腔體1 0 0内的氣體排出。 真空系統可包含真空幫浦1 0 4與節流閥1 0 5以調節通 過製程腔體1 00的氣體流。真空幫浦1 04耦接至位於腔體 主體101上的真空埠107,因此幫浦係與襯墊108上的抽 氣通道1 0 6間流體連通。 孔洞1 09使抽氣通道1 06與腔體主體1 0 1内的製程區 域1 1 0間流體連通。製程區域1 1 0係由蓋組件1 4 0之較低 13 1355685
表面與支持組件120之較高表面所定義,且由襯墊108所 環繞。孔洞109可為均一的尺寸且平均分隔於襯墊108周 圍。不過可使用任何數量、位置、大小與形狀的孔洞,且 每個設計參數可視通過基材接收表面的氣體流圖案而改 變,此部分將於下文中闡述。此外,孔洞的大小、數量與 位置係用來使離開製程腔體1 0 0的氣體均勻。再者,孔洞 大小與位置可用以提供快速或高容量抽氣以利氣體由腔體 1 00處快速排出。例如,接近真空埠1 07之孔洞1 09的數 量與大小可小於遠離真空埠1 0 7之孔洞1 0 9的大小。
第4圖顯示位於腔體主體101上端的蓋組件140之放 大剖面圖。參照第3圖與第4圖,蓋組件14 0包含數個上 下堆疊的元件以於其間形成電漿區域或腔室。蓋組件 140 可包含第一電極 141 (上層電極)垂直位於第二電極(下 層電極)152上方,在這兩個電極之間決定了電漿體積或 腔室149。第一電極141連接至能量源144,諸如RF能量 供給;以及第二電極 152接地,而在兩個電極 141、152 之間有電容形成。 蓋組件140可包含一或多個氣體入口 142(僅於圖中 顯示一個),其至少部分形成於第一電極1 4 1的上部分1 4 3 内。一或多種氣體通過一或多個氣體入口 142而進入蓋組 件140。一或多個氣體入口 142之第一端與電漿腔室149 間流體連通,以及其第二端連接至一或多個上游氣體源以 及/或其他氣體輸送元件,例如氣體混合物。一或多個氣體 入口 142的第一端可在延伸段146之内徑150的最高點處 14 1355685 開向電漿腔室149。同樣地,一或多個氣體入口 142的第 一端可在沿著延伸段1 4 6之内徑1 5 0的任意高度處開向電 漿腔室149。雖然圖中未顯示,兩個氣體入口 142可位於 延伸段 146之對側以產生漩渦流圖案或渦流至延伸段 146,此有助氣體於電漿腔室149中混合。
第一電極 141可具有覆蓋電漿腔室149的延伸段 1 4 6。延伸段1 4 6可與氣體入口 1 4 2間流體連通。延伸段 146可為具有内表面或直徑150之環形構件,其由上部分 147處逐漸增加至下部分148處。就其本身而論,第一電 極141與第二電極152之間的距離是可以改變的。此改變 的距離有助控制電漿在電漿腔室149内生成與穩定。
延伸段146可類似圓錐狀或漏斗狀,如第3圖與第4 圖所示。延伸段146之内表面150可由上部分147逐漸往 延伸段的下部分1 4 8傾斜。内徑1 5 0的斜率或角度可隨著 製程需求以及/或製程限制條件而改變。延伸段1 46之長度 或高度亦可隨著特定製程需求以及/或限制而改變。内徑 150之斜率、或延伸段146之高度、或二者可隨著製程所 需的電漿而改變。 在不受限於理論下,一般相信兩個電極1 4 1、1 5 2之間 的距離變化可使形成在電漿腔室149内的電漿得到所需的 功率程度,以使其在不能遍佈整個電漿腔室149時至少能 維持在電漿腔室149之一部分内。在電漿腔室149内的電 漿因此較與壓力無關,此使得電漿可生成且維持在較寬的 15 1355685 操作視窗内。所以,較具重複性與可靠性的電漿可形成在 蓋組件1 4 0内。 第一電極141可由任何製程材料所構成,例如鋁、電 鍍鋁、鍍鋁鎳、鍍6061-T6鋁之鎳、不銹鋼以及上述的組 合或合金等。在一或多個實施例中’整個第一電極141或 其中的一部分係以鎳包覆以降低不樂見的粒子形成。較佳 地,至少延伸段146之内表面150具鍍鎳材料。
第二電極 152 可包含一或多個堆疊板(stacked plates )。當需要一或多個平板時,平板係彼此間電性連接。 每個平板包含複數個孔洞或氣體通道以使一或多種氣體通 過電漿腔室149。 蓋組件1 4 0更包含絕緣環(i s ο 1 a t o r r i n g ) 1 5 1以電性 絕緣第一電極1 4 1與第二電極1 5 2。絕緣環1 5 1可由氧化 鋁或其他絕緣、製程相容材料所構成。較佳地,絕緣環1 5 1 包圍或實質包圍至少此延伸段146。
第二電極152可包含頂板153、分配板(distribution plate) 158與檔板(blocker plate) 165,.其在製程腔體内 將基材與電漿腔室分開。頂板1 5 3、分配板1 5 8與檔板1 6 5 係堆疊且位於連接至腔體主體101之蓋緣164上,如第3 圖所示。此技藝人士皆知,絞接組件(hinge assembly)(未 顯示)可用以使蓋緣(lid rim ) 164連接至腔體主體 101 上。蓋緣164可包含嵌入式通道或出入口 165以覆蓋熱傳 送媒介。視製程之需求而定,熱傳送媒介可用於加熱、冷 卻、或同時進行加熱與冷卻。 16 1355685
頂板153包含複數個形成在電漿腔室149 通道或孔洞156,以使來自電漿腔室149之氣II 頂板153可包含凹陷部分154,此部分係用以 部份的第一電極 1 4 1。在一個或多個實施例中 係貫穿頂板153之截面且位於凹陷部分154下 之凹陷部分154可為第4圖所示的階梯狀(stai 以在其間提供較佳的密封接合(sealed fit )。 1 5 3之外徑可加以設計以固定或安置在分配板 上,如第 4圖所示。一種 0型環(o-ring)種 (seal)—例如,人造橡膠0型環155 —係至少部 板153之凹陷部分154内,以確保其與第一電 的液密(fluid-tight)接觸。同樣地,0型環密封 頂板1 5 3之外徑與分配板1 5 8之間提供液密接: 分配板1 5 8為大致圓盤狀且包含複數個孔 路以輸配氣體流經其間。孔洞1 6 1之大小可加 於分配板1 5 8附近,以提供可受控制且均勻的 具有待處理基材70設置其中的製程區域110老 洞1 6 1藉由減緩與改向氣體流之速度輪廓而避 衝擊基材7 0表面,並可平均分配氣體流以提供 70表面上之均勻分佈。 分配板1 5 8亦可包含環狀裝置凸緣1 5 9形 的外徑上。裝置凸緣1 5 9之大小可加以調整以 164的上表面上。0型環種類的密封墊一例如, 型環一係至少部分設置在環狀裝置凸緣1 5 9内 下方的氣體 t流經頂板。 覆蓋至少一 ,孔洞 156 方。頂板153 r stepped), 此外,頂板 1 5 8之外徑 類之密封墊 分設置在頂 極141之間 墊1 57可在 觸。 洞1 6 1或通 以調整且位 流體分佈於 I。再者,孔 免氣體直接 氣體在基材 成於分配板 安置於蓋緣 人造橡膠0 以確保其與 17 1355685
蓋緣164之液密接觸。 分配板 158可包含一個或多個嵌入式通道或出 1 60,用於容納加熱器或加熱流體以控制蓋组件 1 40 度。電阻式加熱元件可置入通道160内以加熱分配板 熱耦可連接至分配板158上以調節分配板的溫度。熱 用於回饋迴路中以控制施加於加熱元件上的電流,如 技藝者所熟知。 或者,熱傳送媒介可通過通道 160。視腔體主體 内的製程需求,若有需要時,一個或多個通道160可 冷卻媒介,以使分配板1 5 8之溫度獲得較佳控制。如 指出,可使用任何熱傳送媒介,例如氮氣、水、乙二 上述之混合物。 係利用一個或多個熱燈管(未顯示)以加熱蓋 140。通常,熱燈管係安置在分配板158之上表面附逬 利用輻射加熱包含分配板之蓋組件1 4 0的元件。 檔板1 62係為選擇性使用的元件,且可設置在頂相 與分配板1 58之間。較佳地,檔板1 62係為可移除式 定於頂板153之下表面上。檔板162應該與頂板153 好之熱接觸和電性接觸。利用螺栓(bolt)或類似之固定 將檔板162連接至頂板153上。檔板162亦可被穿過 螺絲固定在頂板1 5 3之外徑上。 檔板 1 6 2 包含複數個孔洞 1 6 3以提供複數個由 153至分配板158的氣體通道。孔洞163之大小可加 整且位於檔板1 6 2附近,以提供可受控制且均勻的流 入口 的溫 158- 耦可 同此 101 包含 上所 醇或 組件 ,以 L 153 地固 有良 器可 或以 頂板 以調 體分 18 1355685 佈於分配板158上。 第5圖顯示具有支持组件12〇 件…至少部分設置在腔體主體之二剖面圖。支持組 可包含支持構件122以支樓在 。支持组件120 材-(未顯示於圖中持構:;::1内受處理的基 接至升降機構了透過轴120而耦 例傅m上,此轴由形成在腔 卜的φ血趙主體101底表面 上的中央開口 103處延伸。升降 (bell〇w)l32强 31可透過風箱 ^^2而彈性地雄、封腔 軸126届昭,,e 腔體主體10卜其避免真空由 。圍/爲損。升降機構丨 艚101勿Λ 便支持構件122在腔體主 體 内之製程位置與較低傳送位置之^ 仞番#伽 之間垂直移動。傳送 位置稍微低於形成於體 肢1 υ 1側壁上之縫閥1 1 1開口。 =—個或多個實施例中,利用真空夾盤(vaeuum chuck) 頂板二2板7〇(未顯示於第5圖中)固定在支持組件120上。 件^ 1 23可包含複數個開孔丨24,此開孔與形成在支持構 中的個或多個凹槽1 2 7間流體連通。透過設置在 轴126内之真*逡 丹工等官(vacuum conduit)125以及透過支持構 122 ’凹槽127係與真空幫浦(未顯示)間流體連通。 在—些條杜T 士 ”干下’真空導管I25可用以供給純淨之氣體去 持構件122的矣而 的表面上,以在基板70未設置於支持構件 i時防止支梓Μ I i 又得構件表面發生沉積。真空導管125亦可在製 程過程_傳# # 号适淨化軋體以避免反應氣體或副產物接觸基板 70之背側》 其間2構件122可包含—個或多個孔(b〇res)i29形成於 合納升降插稍1 3 0。每個升降插稍1 3 0係通常由陶 19 瓷或含陶瓷之材料所構成,i係用於基板處理與傳輸上。 每個升降插摘130係為可抽取式地設置“L129内。藉由 與設置腔體主體1〇丨肉夕搭k 内之環狀升降環(annular lift ring) 1 28 接。升降插稍13〇可在其各自的孔i29中移動。升降環 128係可移動’而當升降環128位於上層位置時,升降插 稍’ 130之上表面係位於支持構“2之基板支持表面上 方。相反地,當升陪搜 128位於下層位置時,升降插稍130 之上表面係位於主牲搂斗 ’ 22之基板支持表面下方。因 此,當升降環128由 降插稍13〇之一部份^ i㈣至上層位置時’每個升 129。 。穿過在支持構件122内之各自的孔 當啟動時,升降插稍] 基材7〇抬升離開支持構件12 :基材70之下表面’並將 130以降低彳目反地,可關閉升降插稱 支持組件安置於支持構件122上。 構件122附近。邊 辰㈤以dng)12l設置在支持 構件122之外圍且21係為環狀構件,其用以覆蓋支持 可位於支持構件122上^支持構件I22受到沉積。邊環121 徑與邊環121之内秤門或在附近’以在支持構件122之外 淨化氣體通道丨3 3可與形成環狀淨化氣體通道1 3 3。環狀 内的淨化氣體導管134 ^ =形成於支持構件122與軸126 管1 34係與淨化_ 1机體連通。較佳%,淨化氣體導 、乎化既體供給(未 化氣體至淨化氣體通道 …、“體連通以提供淨 導管1 3 4而進^ # 。在操作上,淨化氣體通過 進入淨化氣體通道133中,b T 且到達設置在支持 20 1355685 構件310上之基材邊緣附近。因此,結合使用邊環12丨與 淨化氣體可以避免在基板邊緣以及/或背側之沉積。 利用循環通過流體通道1 3 5内的流體即可控制支持組 件120之溫度,其中流體通道係内建於支持構件的主 體内。流趙通道⑴與熱傳送導f⑴間流趙連通該敎 傳送導管⑶貫穿設置於支持組件12〇之軸126内。流體 通道135係位於去柱搂丛 板接收表面提供均勻 周圍以為支持構件】22之基 可傳輸熱傳送流體以;Γ:體通道135與熱傳送導管… 120可進一步包 、或冷部支持構件⑴。支持組件 顯示)以監控支持1入式熱耦(㈣“化以thermocouPM(未 支持構件⑵之支持表面的溫度。 在操作上,支持構件 近以控制待處理基材 2可升岗至接近蓋組件140附 所發射的輻射可如熱7〇之溫度。也就是,透過分配板158 所控制。或者1用、“才,而分配板158係由加熱元件474 亦可將基材70升〜由升降環128啟動之升降插梢130, 件140。 ,開支持構件122並緊鄰已加熱的蓋组 一種藉由氨氣 製程腔體100中移^3)與三氟化氮⑽3)氣體混合物以在 中闡述。參照第3圖與=之範例乾式蝕刻製程將於後文 驟為置放基材7〇 、圖’乾式钱刻製程的第—個步 ⑴而將基材70置放於:體域二 10中。通常透過縫閥開口 122的上表面上。基材;::二體101内’且位於支持構件 〇係被失固於支持構件122之上表 21 1355685 緣淨化氣ft iVrl ge PUrge)通過通道133。利用.s 與凹槽127汲取直* ”,收甘ι· W用通 面上,且邊 ' -〇v purge)逋過通道 133。 過開孔124與凹槽127汲&古… 刊用通 1 2 2的上表面上,其中開 支持構件 空幫浦間流體連通。若支持構件⑴尚 5而與真 上,則可將支持構件122升高至 、製程位置 置上。腔體主體101俘較“趙體101内的製程位 間’較佳地在大約㈣。利用傳送熱傳 之 道1〇2即可維持腔體主體1〇1之溫度。、"過流體通 爿用傳導熱傳送媒介或冷卻劑通過形成在 120内的流體通道…,即可將基材7 支持組件 例如介於l5〇C至5〇〇c 至低於65。〇, C之間。在一個實始 溫度維持低於 例中,將基材的 于低於至溫的狀態。在另一個實 ^ 的溫度唯柱+人 彳中,將基材 ,维持在介於2以至40°C度之間 /材7〇 1 22係維持低 逋常,支持構件 应& 22〇C以達到上述指定之基姑带七 度。為了冷卻Φ拉 暴材需求溫 部支持構件1 22,冷却劑係通過 較佳使用連墻从六,、 巧机體導管1 35。 較佳控制。 件122的溫度獲得 著導入氨氣與三氟化氮氣體至腔 潔氣體之涼人& 萌100中以形成.、杳 作。物。導入腔體之每種氣體含量 加以調整以έ $係可變動且可 从顧及待移除氧化層72之厚 Τ 之型態、雷將+ μ 得清潔基材70 電漿之體積容量、腔體主體i 及耦接5b*紐 〈體積容量、w 祸接至腔體主體1〇1之真空系統的重以 令’添加ϋ鞞,,扭, 在一個態樣 虱體以提供具有氨氣與三氟化氮之八工 樣 少ι:ι的氣艚·β人, < 刀子比率為至 π虱體,此合物。在另一個態樣中, 主 添加氣體以提# 22 1355685 具有氨氣與三氟化氮之分子比率為至少3: 1的氣體混合 物。較佳地,輸入至腔體100的混合氣體具有氨氣與三氟 化氮之分子比率為至少為5 : 1至3 0 : 1。更佳地,氣體混 合物之分子比率係由大約5 : 1 (氨氣比三氟化氮)至大約 1 0 : 1。氣體混合物之分子比率亦可落在大約1 0 : 1 (氨氣 比三氟化氮)至大約20:1。
淨化氣體或載氣亦可添加至氣體混合物中。任何合適 的淨化氣體/載氣可加以使用,例如氬氣、氦氣、氫氣、氮 氣或上述之組合。通常,整體氣體混合物的體積係為氨氣 與三氟化氮體積之大約〇 . 〇 5 %至大約2 0 %。剩下的比率即 為載氣。在一個實施例中,於導入反應性氣體之前,首先 導入淨化氣體或載氣進入腔體主體101中以穩定腔體主體 101内的壓力。
在腔體主體1 〇 1内的操作壓力可加以改變。通常,該 壓力維持在介於約5 0 0毫托至約3 0托之間。較佳地,該壓 力維持在介於約1托至約1 0托之間。更佳地,在腔體主體 1 0 1内的操作壓力維持在介於約3托至約6托之間。 介於約5瓦(Watts)至約600瓦(Watts)的RF功率係施 加至第一電極1 4 1上以激發位於電漿腔室1 4 9内的氣體混 合物電衆。較佳地,RF功率係小於1 00瓦。更佳地,功率 施加時的頻率係相當低,例如小於 1 00千赫(kHz)。較佳 地,此頻率係介於約5 0千赫與約9 0千赫的範圍内。因為 下層電極153、檔板162與分配板158,在電漿腔室149 内激發的電漿並不會接觸位於製程區域Π0内的基材70, 23 1355685 反而是被侷限在電漿腔室149内。電漿因此可相對於製程 區域110而遠端形成在電漿腔室149内。也就是,製程腔 體100提供兩個不同區域:電漿腔室149與製程區域1丨〇。 以位於電漿腔室149内的電漿看來,這些區域彼此間並未 溝通。更明確而言,由電漿產生之反應性物種可經由孔洞 1 5 6、檔板1 6 2之孔洞1 6 3而離開電漿腔室1 4 9,並經由分 配板158之孔洞161而進入製程區域110中。
電漿能量將氨氣與三氟化氮氣體解離成反應物種,此 等物種結合在一起以形成氣相之高度反應性‘的氟化敍 (ammonium fluoride,NHUF)化合物以及/或氟化氫兹 (ammonium hydrogen fluoride, NH4F‘HF)。上述分子接著通 過開孔156、163與161而與基材70之氧化層72反應。在 一個實施例中,首先導入載氣至腔體100中;載氣之電聚 產生於電漿腔室149中;接著添加反應性氣體、氨氣與三 氟化氮至電漿中。如前述’形成在電漿腔室149内的電漿 並未接觸位於製程區域110中的基材70。
在不受限於理論下’ 一般相信蝕刻氣體、氟化銨(NH4f) 以及/或氟化氫銨(NH4F.HF)係與氧化矽表面72反應形成 六氟矽酸銨(ammonium hexaflu〇r〇silicate, (NH4)2SiF6)、 氨與水的產物。氨與水在製程條件時為蒸氣且可利用真空 幫浦104而於腔體1〇〇中移除。尤其,在揮發氣體經由襯 塾1〇8上的孔洞109而進入抽氣通道1〇6。六氟碎酸敍薄 膜則留在基材70表面。反應機制可概述成下列反應式: 24 1355685
nf3 + nh3 — nh4f + nh4f-hf + n2 6NH4F + Si〇2 — (NH4)2SiF6 + H20 (NH4)2SiF6+ 熱(heat) — NH3 + HF + SiF4 在薄膜形成於基材表面上之後,具有基材支撐其上 支持構件1 22會升高至非常接近熱分配板1 5 8的退火位 上。來自分配板158之輻射熱應足夠高以將六氟矽酸銨 膜解離或昇華成揮發性四氟化矽(SiF4)、氨與氟化氫 物。利用上述之真空幫浦104以由腔體100中移除揮發 產物。實際上,薄膜被加熱或揮發而離開基材70,剩下 露之磊晶表面74。通常,75°C或更高的溫度可有效將 膜由基材70上移除。較佳地,可使用100°C或更高的 度,例如介於約11 5 ° C至約2 0 0 ° C之間。 將(NH4)2SiF6薄膜解離成揮發性成分的熱量係由分 板158所傳導或輻射。如上述般,加熱元件160可直接 分配板158耦接,且可加熱分配板158以及與其熱接觸 元件至介於約75°C至250°C之間的溫度。在一個態樣亏 分配板1 5 8可加熱至介於約1 0 0至約2 0 0 ° C之間,例如 120°C 。 升降機構131可朝向.分配板158下表面的方向升高 持構件1 2 2。在升降步騾過程中,基材7 0係固定在支持 件122上,例如藉由上述的真空夾盤或靜電夾盤。或者 透過升降環128升高升降插稍130,可將基材70抬升離 支持構件1 22並置於非常接近熱分配板1 5 8處。 的 置 薄 產 性 裸 薄 溫 配 與 的 y 約 支 構 > 開 25 1355685
具有薄膜於其上之基材70的上表面與分配板158之 的距離並非關鍵因素,那只是在一般實驗上需要處理的 情。熟習此技藝人士能輕易決定可有效氣化薄膜且不會 害下層基材70之需求間距。然而,一般相信,介於大 0.254毫米(10.密爾)與5.08毫米(200密爾)間的間 係為上述之有效距離。 一旦將薄膜由基材70上移除,磊晶表面74將裸露 基材7 0準備進行後續磊晶沉積製程。乾式蝕刻處理器 被清潔且排空。藉由降低基材 70至傳送位置上、將基 70去靜電、並傳送基材70通過縫閥111開口而將乾淨 基材70由腔體主體101上移除。第一機械手臂20將基 7 0由乾式蝕刻處理器3 2中移至磊晶沉積反應器3 4中。 為基材7 0維持在負載閉鎖系統1 0中,基材7 0並未在傳 製程中暴露至任何周圍空氣下。也就是,電漿腔室149 製程區域1 1 0與磊晶沉積反應器3 4係彼此間真空連接以 止不必要的氧氣進入上述任何區域中。因此蟲晶表面 不會被氧化物污染,且在基材7 0載入磊晶沉積反應器 中時維持乾淨的裸露。磊晶層76可因此如上述般及時長 蟲晶表面74上。 將 HF-last之濕式清潔步驟以乾式清潔程序取代 後,就可以於單一負載閉鎖系統1 〇中進行整個磊晶沉積 程。等待時間即可以減少。再者,一般相信上述的乾式 潔製程具有較少的下切(undercut)問題,因為在處理氮氧 矽基材時其為橫向蝕刻氧化物,而HF濕式蝕刻則為向 間 事 傷 約 距 且 32 材 的 材 因 送 、 防 74 34 於 之 製 清 化 下 26 1355685 蝕刻。然而,可瞭解的是製程步驟可於任意時間改變,尤 其是在磊晶沉積之前馬上進行清潔步驟時,會產生表面不 能進行磊晶沉積的風險。一些較高層的元素例如氧氣、氟、 氣或氮氣可能會對磊晶製程有不利影響。
實作上,根攄上述製程,可利用習知HF-last清潔矽 基材中的第一群基材,以及用電漿乾式清潔製程處理第二 群基材。電漿乾式清潔製程利用氨氣與三氟化氮氣體以及 激發電漿以轉換成反應物種,此等物種結合在一起以形成 氣相且高度反應性的氟化録(ammonium fluoride, NH4F) 化合物以及/或氣化氩敍(ammonium hydrogen fluoride, NH4F‘HF),用此來清潔第二群基材。磊晶沉積係在 85 0° C、750。C。、700。C與650。C的沉積溫度下進行。接著以 X光繞射(X-ray diffraction (XRD))與第二離子質量光 譜儀(second ion mass spectroscopy (SIMS))以決定在蟲 晶薄膜/基材介面的元素等級。XRD分析在所有沉積溫度 中被檢視的成長速度與薄膜組成,結果顯示出以 HF-last 清潔的第一群基材與電漿乾式清潔的第二群基材之間並無 差異。在大於等於800。C進行磊晶沉積時,HF-last清潔 基材與電漿乾式清潔基材的SIMS圖形則有不同。然而, 利用乾式清潔蝕刻製程且進行溫度小於等於7 5 0 ° C的磊 晶沉積時,可在基材/磊晶介面處觀察到較高階的〇、F、 C1、與N。在乾式清潔基材的沉積之前利用氫氣酸原位烘 烤不會降低在上述介面處的殘餘元素。不過’此有限的實 驗顯示使用電漿的乾式清潔可取代在磊晶沉積之前使用的 27 1355685 HF-last製程。需要進一步調整與改進設備與製程以降低在 低於750。C時處理基材所觀察到的0、F、CI、與N。
一般相信除了上述提及的反應性物種外,還有其他物 種可用在乾式蝕刻步驟中;例如氫氣電漿有助於降低殘留 元素。也就是,其他種類的氣體可輸入至氣體輸送系統220 中且形成遠離基材70之電漿。電漿可形成反應物種,該物 種與基材70上的氧化物表面72反應,藉此將磊晶表面74 裸露。可依需求加熱或冷卻基材70以助於移除氧化層72。 雖然本發明結合特定實施例說明如上,但是可瞭解的 是實施例僅為說明本發明之原則與應用之用。雖然前文已 闡述本發明之具體實施例,在不脫離本發明之基本精神與 範圍下,當可設計出本發明之其他具體實施例,且本發明 之範圍係由後附之申請專利範圍所界定。 【圖式簡單說明】 本發明之圖式如下: • 第1圖顯示根據本發明一假態樣'之多腔體製程系統; 第2A圖至第2C圖顯示根據本發明實施例之正在處理 中的基材, 第3圖係乾式蝕刻製程腔體實施例的局部剖面圖; 第4圖顯示在第3圖中蓋組件之放大剖面圖;以及 ' 第5圖顯示第3圖中支持組件3 0的局部剖面圖。 【主要元件符號說明】 28 1355685 1 〇製程系統 32、 34、 36、 38 製程腔體 20第一機械手臂 72氧化物 34轰晶沉積反應 5 0第二機械手臂 1 0 6抽氣通道 1 08襯墊 120支持組件 122支持構件 129孔 1 33氣體通道 1 36傳送導管 141第一電極 1 49電漿腔室 1 52第二電極 1 5 8分配板 1 5 9凸緣 1 6 4蓋緣 12、14負載閉鎖腔體 62 、 64 、 66 、 68製程腔體 70基材 7 6蟲晶層 42、44傳送腔體 101腔體主體 107真空埠 110製程區域 1 2 1 邊環 126軸 131升降機構 134氣體導管 1 4 0蓋組件 144能量源 1 5 1 絕緣環 1 53頂板 157密封墊 1 60通道 165檔板 29

Claims (1)

1355685 * .) ’ ’ · |。啤9月β曰修正本 第如°»%號專利案⑽年)月修正 十、申請專利範圍: 1. 一種遙晶沉積方法,包含: Γ 導入一基材至一製程腔體中,該基材具有一氧化層; 導入一氣體混合物至一電漿腔室中; 激發該氣體混合物以於該腔室中形成反應氣體之一 電漿; - 導入該反應氣體至該製程腔體中; -. 利用該反應氣體處理該基材以形成一揮發薄膜,同 時在該基材與該反應氣體反應時,維持該基材於低於 6 5 °C之溫度; 加熱該基材到至少約7 5 °C之溫度,以蒸發該揮發薄 膜且移除該氧化層,藉此暴露出一磊晶表面;以及 形成· 蟲晶層於該蟲晶表面上。 2. 如申請專利範圍第1項所述之方法,其中該電漿未接觸 該基材。 3 與 室 腔 聚 ι"*·β-ί 該 中 其 法 方 之 述 所 項 2 第 。 圍開 範分 利體 專腔 請程 申製 如該 法 方 之 述一 。 所至下 項材氣 1基空 第該圍 圍動周 範移至 利含露 專包暴 請驟材 申步基 如的將 晶 磊 層必 晶 不 磊而 該中 成器 形應 中反 其 積 ,沉 30 1355685 t 5.如申請專利範圍第4項所述之方法,其中該電漿腔室、 該製程腔體以及該磊晶沉積反應器係真空連接至一多 腔體製程系統上。 6. 如申請專利範圍第1項所述之方法,其中加熱該基材到 至少1 0 0 °C之溫度。 7. 如申請專利範圍第6項所述之方法,其中加熱該基材到 1 0 0 °C與2 0 0 °C之間的溫度。 8. 如申請專利範圍第1項所述之方法,其中該氣體混合包 含氨氣(NH3)與三氟化氮(NF3)。 9. 如申請專利範圍第8項所述之方法,其中該反應氣體包 含說化敍 (ammonium fluoride, NH4F) 或氟化氫敍 (ammonium hydrogen fluoride, NH4F'HF) 0 10. 如申請專利範圍第9項所述之方法,其中該揮發薄膜包 含 六氟石夕酸敍 (ammonium hexafluorosilicate, (NH4)2SiF6)。 11. 如申請專利範圍第3項所述之方法,其中該電漿腔室包 31 1355.685 含一第一電極與一第二電極,該第一電極連接到一能量 源,該第二電極連接到一接地。 12.如申請專利範圍第11項所述之方法,其中該第二電極 包含一頂板、一分配板與一檔板,其在該製程腔體内將 該基材與該電漿腔室分開。 32
TW096102236A 2006-02-03 2007-01-19 Epitaxial deposition process and apparatus TWI355685B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/346,804 US7494545B2 (en) 2006-02-03 2006-02-03 Epitaxial deposition process and apparatus

Publications (2)

Publication Number Publication Date
TW200737310A TW200737310A (en) 2007-10-01
TWI355685B true TWI355685B (en) 2012-01-01

Family

ID=38202709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096102236A TWI355685B (en) 2006-02-03 2007-01-19 Epitaxial deposition process and apparatus

Country Status (7)

Country Link
US (1) US7494545B2 (zh)
EP (1) EP1994201A2 (zh)
JP (3) JP2009525611A (zh)
KR (2) KR20080099305A (zh)
CN (1) CN101379214B (zh)
TW (1) TWI355685B (zh)
WO (1) WO2007092130A2 (zh)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
CN102326229B (zh) * 2009-03-05 2014-03-12 应用材料公司 沉积具有低界面污染的层的方法
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
CN101559946B (zh) * 2009-04-27 2011-01-05 浙江大学 利用等离子体制备硅纳米颗粒的方法及装置
KR101051284B1 (ko) * 2009-06-04 2011-07-22 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8263468B2 (en) 2010-04-24 2012-09-11 International Busienss Machines Corporation Thin body semiconductor devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
JP6022166B2 (ja) 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20130004681A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
JP5917861B2 (ja) 2011-08-30 2016-05-18 株式会社Screenホールディングス 基板処理方法
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN104584188B (zh) * 2012-08-08 2017-05-31 应用材料公司 连结型真空处理工具和使用该工具的方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014161199A1 (zh) * 2013-04-03 2014-10-09 Wang Dongjun 等离子体增强原子层沉积设备
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102245729B1 (ko) 2013-08-09 2021-04-28 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
KR102133895B1 (ko) * 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US20160189958A1 (en) * 2014-12-30 2016-06-30 The Board Of Trustees Of The University Of Arkansas HETEROEPITAXIAL GROWTH OF Ge-Sn ALLOYS
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
CN108701590A (zh) * 2015-08-17 2018-10-23 安托士设备系统公司 使用大气压等离子体准备步骤的外延生长
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI671787B (zh) * 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法
CN105239056B (zh) * 2015-10-27 2018-01-26 上海集成电路研发中心有限公司 一种原子层沉积设备以及方法
KR20180081158A (ko) 2015-12-04 2018-07-13 어플라이드 머티어리얼스, 인코포레이티드 InGaAs(또는 Ⅲ-Ⅴ) 기판들을 세정하기 위한 방법들 및 해법들
US10096473B2 (en) * 2016-04-07 2018-10-09 Aixtron Se Formation of a layer on a semiconductor substrate
US9972968B2 (en) * 2016-04-20 2018-05-15 Trumpf Photonics, Inc. Passivation of laser facets and systems for performing the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6745166B2 (ja) * 2016-08-12 2020-08-26 株式会社アルバック 成膜方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
KR102312122B1 (ko) 2016-09-15 2021-10-14 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세스를 위한 통합 시스템
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6697640B2 (ja) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
KR102619574B1 (ko) * 2017-02-10 2023-12-28 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190006777A (ko) * 2017-07-11 2019-01-21 주식회사 유진테크 기판 처리 장치
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI663674B (zh) * 2017-07-25 2019-06-21 漢民科技股份有限公司 用於半導體製程之腔體蓋與頂板之組合體及成膜裝置
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107527870B (zh) * 2017-08-29 2023-08-25 惠科股份有限公司 一种阵列基板的制作方法及其制作设备
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
JP2020532114A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 一体型エピタキシシステム高温汚染物質除去
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112011788A (zh) * 2019-05-29 2020-12-01 成都珠峰永明科技有限公司 硅异质结太阳能电池本征非晶硅膜层的制备方法
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
JP7485729B2 (ja) * 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長のための統合湿式洗浄
CN115681653A (zh) * 2021-07-29 2023-02-03 北京北方华创微电子装备有限公司 半导体工艺设备及其进气装置
CN113745131B (zh) * 2021-08-31 2024-01-16 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备
KR20240029768A (ko) * 2021-09-03 2024-03-06 어플라이드 머티어리얼스, 인코포레이티드 하나 이상의 압력 안정화 챔버들을 갖는 클러스터 툴들, 시스템들 및 방법들
CN116092953B (zh) * 2023-03-07 2023-07-18 天津中科晶禾电子科技有限责任公司 一种晶圆键合装置、方法及复合衬底组件

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
JPH01295412A (ja) * 1988-05-24 1989-11-29 Sumitomo Metal Ind Ltd プラズマ気相成長装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
JP2983244B2 (ja) * 1990-03-29 1999-11-29 株式会社東芝 表面処理方法
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3215898B2 (ja) * 1992-04-28 2001-10-09 日本電信電話株式会社 プラズマcvd法およびプラズマcvd装置
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH08191053A (ja) * 1995-01-10 1996-07-23 Kawasaki Steel Corp 半導体装置およびその製造方法
JPH09115860A (ja) * 1995-10-20 1997-05-02 Sony Corp 電子装置およびその製造方法
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP3954833B2 (ja) * 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
JP2003282530A (ja) 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication

Also Published As

Publication number Publication date
JP2009525611A (ja) 2009-07-09
KR101201964B1 (ko) 2012-11-20
EP1994201A2 (en) 2008-11-26
KR20110019445A (ko) 2011-02-25
WO2007092130A2 (en) 2007-08-16
TW200737310A (en) 2007-10-01
WO2007092130A3 (en) 2007-09-27
CN101379214B (zh) 2013-12-18
JP2016167610A (ja) 2016-09-15
JP6272934B2 (ja) 2018-01-31
KR20080099305A (ko) 2008-11-12
CN101379214A (zh) 2009-03-04
JP2013175745A (ja) 2013-09-05
US7494545B2 (en) 2009-02-24
US20070181057A1 (en) 2007-08-09

Similar Documents

Publication Publication Date Title
TWI355685B (en) Epitaxial deposition process and apparatus
TWI820036B (zh) 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US10199215B2 (en) Apparatus and method for selective deposition
CN102569136B (zh) 清洁基板表面的方法和设备
TWI438839B (zh) 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法
TWI387667B (zh) 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
WO2019046453A1 (en) REMOVAL OF HIGH TEMPERATURE CONTAMINANTS FROM AN INTEGRATED EPITAXIS SYSTEM
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
TW201839891A (zh) 用於深溝槽內的低溫選擇性磊晶之方法及設備
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
TW202027198A (zh) 用於形成過渡金屬材料的群集處理系統