JP2016167610A - エピタキシャル堆積プロセス及び装置 - Google Patents

エピタキシャル堆積プロセス及び装置 Download PDF

Info

Publication number
JP2016167610A
JP2016167610A JP2016080171A JP2016080171A JP2016167610A JP 2016167610 A JP2016167610 A JP 2016167610A JP 2016080171 A JP2016080171 A JP 2016080171A JP 2016080171 A JP2016080171 A JP 2016080171A JP 2016167610 A JP2016167610 A JP 2016167610A
Authority
JP
Japan
Prior art keywords
substrate
gas
plasma
chamber
epitaxial deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016080171A
Other languages
English (en)
Other versions
JP6272934B2 (ja
Inventor
アンドリュー ラム,
Lam Andrew
アンドリュー ラム,
イーワン キム,
Yihwan Kim
イーワン キム,
サティーシ クプラオ,
Kuppurao Satheesh
サティーシ クプラオ,
シー−エン パン,
See-Eng Phan
シー−エン パン,
シンリャン ルー,
Xinliang Lu
シンリャン ルー,
チェン−ティー カオ,
Chien-Teh Kao
チェン−ティー カオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016167610A publication Critical patent/JP2016167610A/ja
Application granted granted Critical
Publication of JP6272934B2 publication Critical patent/JP6272934B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

【課題】簡便な方法でエピタキシャル堆積プロセスの前に残留表面酸素を結晶基板から除去する方法を提供する。【解決手段】ドライエッチングプロセスに続いてエピタキシャル堆積プロセスを含む、エプタキシャル堆積プロセスによる。ドライエッチングプロセスは、洗浄すべき基板70を処理チャンバ内に配置して表面酸化物を除去するステップを含む。ガス混合物をプラズマキャビティに導入し、ガス混合物を励起してプラズマキャビティ内に反応性ガスのプラズマを生成させる。反応性ガスは、処理チャンバに入り、基板と反応し、薄膜を形成させる。基板を加熱して薄膜を蒸発させ、エピタキシャル堆積のための基板表面74をさらす。こうして基板表面74は、実質的に酸化物を含まないものとする。その後、エピタキシャル堆積を用いて基板表面74上にエピタキシャル層76を形成する。【選択図】図2C

Description

[0001]本発明の実施形態は、エピタキシャル堆積法、システム及び装置に関する。特に、エピタキシープロセスの前に表面酸化物を除去するために用いられる洗浄プロセスを含むエピタキシャル堆積法、システム及び装置が開示される。
[0002]エピタキシャル層は、結晶性基板の上に成長した結晶膜である。下に横たわる基板は、成長膜の鋳型として作用するので、エピタキシャル層の結晶学的特徴が下に横たわる結晶性基板によって画成される。即ち、結晶性基板は、エピタキシャル成長のための結晶学的種子を与える。基板は、例えば、単結晶シリコン、シリコンゲルマニウム又はSOIウエハであるのがよい。
[OO03]エピタキシャル層の成長は、一般に、化学気相堆積(CVD)を用いて達成される。基板ウエハをCVDリアクタに装填し、その後、非反応性ガス、例えば、He、Ar、N又はHでパージする。リアクタの温度を上昇させ、キャリヤガスと反応性ガスの混合物をリアクタに導入する。反応性ガスとしては、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、ジクロルシラン(SiHCl)、トリクロロシラン(SiHCl)、四塩化シリコン(SiCl)が挙げられてもよいが、これらに限定されない。ドーパントガス、例えば、アルシン(AsH)、ホスフィン(PH)、ジボラン(B)が導入されてもよい。キャリヤガスは、典型的には水素である。エピタキシャル層の所望の厚さが達成されたときに、リアクタをパージするために非反応性ガスを再び用い、温度を低下させる。
[0004]しかしながら、エピタキシャルプロセスが巧く行われるためには、結晶性基板上にある酸化物の量を最少限にすることが重要である。基板の表面酸素含量があまりに大きい場合には、エピタキシャル成長プロセスは、酸素原子が種子基板上に堆積する物質を結晶学的に配置することを妨害するので不利に影響される。例えば、シリコンエピタキシャル堆積において、結晶基板上の過剰酸素はシリコン原子を酸素原子の原子スケールクラスタによってエピタキシャル位置から置換させることができる。この局所原子転位は、層がより厚く成長するので続く原子の配列の誤差を生じ得る。この現象は、いわゆる積層欠陥、或いはヒロック欠陥と呼ばれるものである。基板表面の酸素化は、例えば、基板が種々の製造ステーション間で搬送される場合に周囲空気にさらされるときに生じるものである。
[0005]表面酸素によって引き起こされる欠陥を避けるために、エピタキシャル堆積プロセスの前に残留表面酸素を結晶基板から除去しなければならない。例えば、従来技術において水素プリベークと呼ばれるものを用いて、水素雰囲気中1000℃を超える温度で基板をアニールするのがよい。しかしながら、このような高温プロセスは、熱予算管理の観点から費用がかかる。それ故、代わりに、典型的には、エピタキシーリアクタに基板を装填する前にエクスサイチュ希釈フッ化水素(HF)酸ウェットエッチングが行われる。このプロセスは、従来技術においてしばしばHF-lastと呼ばれる。基板を、水洗後に乾燥し、水素で不動態化するものであり、シリコン基板の場合、HFウェットエッチングステーションからエピタキシーリアクタまで搬送される間にウェハが周囲空気にさらされる場合に生じ得る、自然酸化物成長を低下させるSi-H結合を基板表面に存在させる。わずかな酸化がなお生じることから(雰囲気に最少限さらされると仮定すると)、比較的軽い水素プリベーク、例えば、900℃未満の温度で30〜120秒間がインサイチュで行われ得る。プリベークステップ後に、エピタキシャル堆積プロセスが行われてもよい。
[0006]HF-last前洗浄ステップは基板表面から自然酸化物を除去するのに有効であるが、製造プロセスにある程度の複雑さが導入される。第一に、それは湿式プロセスであることから、HF-lastは、湿式洗浄ステーションとエピタキシー堆積リアクタとの間に固有の待ち時間が課せられる。第二に、HF-last前洗浄ステップに用いられるHF希釈溶液の等方性エッチングは、酸化物の下を切り取るものである。最後に、シリコン基板用のHF溶液における酸化物-窒化物-シリコンエッチング速度によって選択性の問題が生じる。製造プロセスに関するこれらの問題のいずれの影響も減少させることにより、製造処理能力を改善することができる。それ故、“ゼロ雰囲気曝露”処理システムに容易に統合させることができるHF湿式前洗浄ステップを乾式前洗浄ステップに置き換えることが望ましい。
[0OO7]本発明の一態様において、マルチチャンバ処理装置は、エピタキシャル堆積リアクタと真空気密に接続してプラズマを形成するように適合されたチャンバを含むドライエッチングプロセッサを含む。プラズマを用いるドライエッチングプロセスは、ドライエッチングプロセッサ内の基板で行われ、基板の表面から酸化物を除去してエピタキシー表面をさらす。その後、基板をドライエッチングプロセッサからエピタキシャル堆積リアクタに周囲空気にさらさずに搬送される。その後、エピタキシャル堆積リアクタは、エピタキシー表面上にエピタキシャル層を形成するために用いられる。
[OO08]他の態様においては、ドライエッチングプロセスは、処理チャンバに洗浄すべき基板を入れるステップを含む。処理チャンバから分離されたプラズマキャビティにガス混合物を導入する。プラズマキャビティ内にプラズマを形成して、ガスを励起させ、それによって反応性ガスを形成する。その後、反応性ガスが処理チャンバに入り、基板上の表面酸化物と反応して、膜を形成する。基板を加熱して、膜を蒸発させるとともにエピタキシー表面をさらす。エピタキシー表面は、実質的に酸化物を含まない。その後、エピタキシー表面上にエピタキシー層を形成する。
図1は、本発明の一態様のマルチチャンバ処理システムを示す図である。 図2Aは、本発明の一実施形態に従って処理されている基板を示す図である。 図2Bは、本発明の一実施形態に従って処理されている基板を示す図である。 図2Cは、本発明の一実施形態に従って処理されている基板を示す図である。 図3は、ドライエッチング処理チャンバの一実施形態を示す部分断面図である。 図4は、図3に示したリッドアセンブリの拡大横断面図である。 図5は、図3に示した支持アセンブリの部分断面図である。
[O014]本発明のいくつかの例示的実施形態を記載する前に、本発明が以下の説明に示される構造又はプロセスステップの詳細に限定されないことは理解されるべきである。本発明は、他の実施形態が可能であり、種々の方法で実施或いは実行することができる。
[0015]本発明の態様は、基板に膜をエピタキシャル堆積させるためのシステム、装置及び方法に関する。当業者が理解できるように、周知の半導体処理装置及びエピタキシャル堆積に関する技術は、本発明を不必要にわかりにくくしないように以下に詳述しない。当業者は、プロセスパラメータ値が具体的な環境、基板の種類等によってはかなり変動することを容易に認識する。このように、可能な値や条件の包括的なリストは、本発明の原理がわかるとこのような値を決定することができるので、実際的でも必要でもない。
[0016]本発明の実施形態は、エピタキシャル堆積の前にプラズマを用いて基板、例えば、シリコンを洗浄することに関する。本発明の態様は、クラスタツールで行うことができる。一般に、クラスタツールは、基板の心出しや配向、脱ガス、アニール、堆積及び/又はエッチングを含む種々の機能を行う複数のチャンバを備えるモジュラーシステムである。本発明の実施形態によれば、クラスタツールは、本発明の酸化物成長プロセスを行うように構成された酸化チャンバを含む。クラスタツールの複数のチャンバは、チャンバとの間に往復の基板に適合されるロボットを収容する中央搬送チャンバに取り付けられる。搬送チャンバは、典型的には真空条件に維持され、一チャンバからもう一つのチャンバに及び/又はクラスタツールの正面端に位置決めされたロードロックチャンバに基板を往復させるための中間段階を与える。本発明に適合することができる周知の二つのクラスタツールは、Centura(登録商標)とEndura(登録商標)であり、共にカリフォルニア州サンタクララのAppliedMaterials社から入手できる。このような段階的基板処理システムの詳細は、1993年2月16日発行のTepmanらの“Staged-Vacuum WaferPfocessing System and Method”と称する米国特許第5,186,718号に開示され、この開示内容は本明細書に援用されている。しかしながら、チャンバの正確な配置と組合わせは、本洗浄プロセスを含む、製造プロセスの個々のステップを行うために変えられてもよい。
[0017] 図1は、本発明の一態様のクラスタツール又はマルチチャンバ処理システム10を示す一例である。処理システム10は、システム10との間で基板を搬送するための一つ以上のロードロックチャンバ12、14を含むことができる。典型的には、システム10は減圧下であるので、ロードロックチャンバ12、14は、システム10に導入される基板をポンプダウンすることができる。第一ロボット20は、ロードロックチャンバ12、14と、第一組の一つ以上の基板処理チャンバ32、34、36、38との間で基板を搬送することができる。各処理チャンバ32、34、36、38は、多くの基板処理操作を行うように構成されるのがよい。特に、処理チャンバ32は、以下に記載されるドライエッチングプロセスを実施するように設計されたドライエッチングプロセッサであり、処理チャンバ34は、エピタキシャル堆積リアクタである。処理チャンバ36、38は、例えば、環状層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、脱ガス、配向、他の基板プロセスを更に与えるように構成されてもよい。
[OO18]第一ロボット20は、また、一つ以上の搬送チャンバ42、44に出入りする基板を搬送することができる。搬送チャンバ42、44は、基板がシステム10内に搬送されることを可能にしつつ超高真空条件を維持するために使用し得る。第二ロボット50は、搬送チャンバ42、44と第二組の一つ以上の処理チャンバ62、64、66、68の間で基板を搬送することができる。処理チャンバ32、34、36、38と同様に、処理チャンバ62、64、66、68は、環状層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エピタキシャル堆積、エッチング、前洗浄、脱ガス、配向に加えて、以下に記載されるドライエッチングプロセスを含む、種々の基板処理操作を行うように構成することができる。基板処理チャンバ32、34、36、38、62、64、66、68のいずれも、必要とされない場合にはシステム10から除去することができる。
[0019]ここで、図2A-図2Cを参照すると、エピタキシャル堆積プロセスの一例としては、表面酸化物72を基板70から除去する乾式前洗浄ステップに続く、エピタキシャル堆積プロセスが挙げられる。このために、エピタキシャル堆積プロセスを行う前に、処理すべき基板70は、まずドライエッチングプロセッサ100に装填されて、表面酸化物72を除去する弱いドライエッチングプロセスを受ける。この乾式洗浄プロセスは、図2Bに示したように、基板70の表面上のエピタキシー表面74をさらし、これは、エピタキシャル層の続いての成長を維持するのに適する。ドライエッチングプロセッサ100と、関連のドライエッチングプロセスは、2005年2月22日に出願の米国出願公開第20050230350号として公開された“In-situDry Clean Chamber for Front End of Fabrication”と称する共同譲渡された米国特許出願第11/063,645号に詳述され、この開示内容は本明細書に全体で援用されている。乾式洗浄プロセスが完了した後、基板70は、ロボット20によってドライエッチングプロセッサ100からエピタキシャル堆積リアクタ34に搬送される。システム10全体がロードロックされていることから、搬送した場合に基板70は周囲空気にさらされないので、実質的に酸化物を含まないエピタキシー表面74上に自然酸化物成長を受けない。このように、エピタキシャル堆積プロセスが引き続き行われる場合、広範囲な水素プリベークが必要とされず、或いは、非常に限られた時間だけ水素プリベークが用いられてもよい。この記載において個々の説明はシリコンになされているが、エピタキシー表面74がエピタキシャル堆積を支持するのに適したいかなる表面であってもよく、例えば、シリコンゲルマニウム、ドープされたシリコン、他の全てのIV族、III〜V族、II〜VI族半導体や合金であるがこれらに限定されないことは理解される。
[0O2O]エピタキシャル堆積プロセスは、エピタキシー表面74上にエピタキシー層76を形成するために、エピタキシャル堆積リアクタ34内で、例えば、カリフォルニア州サンタクララのAppliedMaterials社製のEPI CENTURAリアクタ内で行われる化学気相堆積によって行うことができる。基板70のエピタキシー表面74は、例えば、シリコン(例えば、SiCl、SiHCl、SiHCl、SiHCl、Si又はSiH)とキャリヤガス(例えば、N及び/又はH)を含む堆積ガス混合物の形でシリコンにさらされてもよい。基板70の用途にエピタキシャル層76がドーパントを含むことが必要である場合には、シリコン含有ガスが、適切なドーパント含有ガス、例えば、アルシン(AsH)、ホスフィン(PH)、及び/又はジボラン(B)を含んでもよい。
[0021]SiHClが用いられる場合には、堆積の間の堆積リアクタ34内の圧力は、約500〜約760トールであるのがよい。もう一方のSiH又は他のIV族水素化物が用いられる場合には、堆積リアクタ34圧は、100トール未満でなければならない。SiHClを用いるエピタキシャル堆積は、大気圧で行うことができる。エピタキシャル堆積リアクタ34とドライエッチングプロセッサ100が共通のロードロックシステムに接続されず、代わりに基板70が装填され周囲条件下で抽出される個々のユニットである場合には、大気圧でSiHClを用いるエピタキシャル堆積が好ましい。それによってエピタキシー表面74が周囲空気にさらされる場合には、それは、エピタキシャル堆積プロセスの前に、まずエピタキシャル堆積リアクタ34において軽水素プリベークを行い、結果として生じたいかなる自然酸化物もエピタキシー表面74から除去することが必要なものであることが理解される。用語「周囲空気」は、典型的には製造室内の空気を意味する。しかしながら、周囲空気には、プロセス品質管理の観点から容認できない続いてのエピタキシャルプロセスにおいて欠陥又は欠点を生じるのに充分なエピタキシー表面74の酸化を引き起こす十分な酸素を有する環境が含まれるものである。
[0022]エピタキシャル堆積プロセスの間、エピタキシー表面74の温度は、好ましくは、シリコン含有ガスがエピタキシー表面74に多結晶シリコンを堆積させることを防止するのに充分な温度で維持される。エピタキシャル堆積の間のエピタキシー表面74の温度は、例えば、1150℃〜約450℃であるのがよい。
[0O23]一旦所望の厚さを有するエピタキシャル層76がエピタキシー表面74上に形成されると、エピタキシャル堆積リアクタ34は、貴ガス、H又はその組合わせによってパージされてもよい。その後、基板70は、700℃未満の温度にするように冷却されてもよく、その後、続いての処理のためにエピタキシャル堆積リアクタ34から除去されてもよい。
[0024]図3は、図示する処理チャンバ100を示す部分断面図である。処理チャンバ100は、チャンバ本体101と、リッドアセンブリ140と、支持アセンブリ120とを含む。リッドアセンブリ140は、チャンバ本体101の上端部で配置され、支持アセンブリ120は、チャンバ本体101内に少なくとも部分的に配置される。チャンバ本体101は、処理チャンバ100の内部に接近するためにその側壁に形成されるスリットバルブ開口部111が含まれてもよい。スリットバルブ開口部111を選択的に開閉して、第一ロボット20によってチャンバ本体101の内部に接近することを可能にする。
[0025]チャンバ本体101は、それを通って熱伝達流体が流れるその中に形成されたチャネル102が含まれてもよい。熱伝達流体は、加熱流体又は冷却剤でもよく、処理と基板搬送の間、チャンバ本体101の温度を制御するために用いられる。例示的熱伝達流体としては、水、エチレングリコール又はこれらの混合物が挙げられる。例示的熱伝達流体には、窒素ガスが挙げられてもよい。
[OO26]チャンバ本体101は、更に、支持アセンブリ120を取り囲むライナ108を含むことができる。ライナ108は、好ましくは、修理や洗浄のために取り外し可能である。ライナ108は、アルミニウムのような金属、又はセラミック材料から製造され得る。しかしながら、ライナ108は、いかなるプロセス互換性物質でもあり得る。ライナ108は、その上に堆積するいかなる物質もの接着を強化するために吹き付けられるビーズであり得る。それによって、処理チャンバ100の汚染になる物質の剥離を防止する。ライナ108には、真空システムと流体連通している一つ以上のアパーチャ109と、その中に形成されたポンプチャネル106とが含まれてもよい。アパーチャ109は、ポンプチャネル106へのガス用流路を与え、処理チャンバ100内にガスの出口を与える。
[OO27]真空システムは、処理チャンバ100によってガスの流れを調節するために真空ポンプ104とスロットルバルブ105を含むことができる。真空ポンプ104は、チャンバ本体101に配置された真空ポートl07に結合されるので、ライナ108内に形成されたポンプチャネル106と流体連通している。
[0028]アパーチャ109は、ポンプチャネル106がチャンバ本体101内で処理ゾーン110と流体連通することを可能にする。処理ゾーン110は、リッドアセンブリ140の下面と支持アセンブリ120の上面によって画成され、ライナ108によって取り囲まれている。アパーチャ109は、一様な大きさであってもよく、ライナ108の周りに一様な間隔が置かれてもよい。しかしながら、アパーチャのいかなる数、位置、サイズ又は形状も用いることができ、これらの設計パラメータのそれぞれは、下で更に詳述されるように基板収容面全体のガスの所望のフローパターンによっては変動し得る。更に、アパーチャ109のサイズ、数及び位置は、処理チャンバ100を出るガスの一様な流れを得るように構成される。更に、アパーチャのサイズと位置は、速い又は高能力ポンピングを与えるように構成することができ、チャンバ100からガスの急速な排出を容易にする。例えば、真空ポート107に接近しているアパーチャ109の数とサイズは、真空ポート107からより遠くに離れて位置決めされたアパーチャ109のサイズより小さいのがよい。
[0029]リッドアセンブリ140をより詳細に考慮すれば、図4は、チャンバ本体101の上端部に配置されるのがよいリッドアセンブリ140を示す拡大断面図である。図3と図4を参照すると、リッドアセンブリ140は、プラズマ領域又はその間にキャビティを形成するために互いの最上部に積み重ねられる多くの要素を含む。リッドアセンブリ140には、プラズマ容積又はその間にキャビティ149を限定している第二電極152(“下側電極”)より上に垂直に配置された第一電極141(“上側電極”)が含まれるのがよい。第一電極141は、電源144、例えば、RF電源に接続され、第二電極152は、接地に接続され、二電極141、152との間に電気容量が生じる。
[0030]リッドアセンブリ140には、第一電極141の上部断面143内に少なくとも部分的に形成される一つ以上のガス入口142(一つだけ図示する)が含まれるのがよい。一つ以上のガス入口142を経て一つ以上のプロセスガスがリッドアセンブリ140に入る。一つ以上のガス入口142は、その第一端部でプラズマキャビティ149と流体連通し、第二端部で一つ以上の上流ガス源及び/又は他のガス分配要素、例えば、ガスミキサに結合される。一つ以上のガス入口142の第一端部は、広がっている断面146の内径150の最上点でプラズマキャビティ149に通じるのがよい。同様に、一つ以上のガス入口142の第一端部は、広がっている断面146の内径150に沿っていかなる高さ間隔にでもプラズマキャビティ149に通じているのがよい。図示されていないが、プラズマキャビティ149内でガスの混合を援助する広がっている断面146へ旋回フローパターン又は“渦”流れを生じるように二つのガス入口142は、広がっている断面146の反対側で配置され得る。
[0031]第一電極141は、プラズマキャビティ149を収容する広がっている断面146を持つのがよい。広がっている断面146は、上記のようにガス入口142と流体連通しているのがよい。広がっている断面146は、その上部147からその下部148まで徐々に増大する内面又は直径150を有する環状部材であるのがよい。このように、第一電極141と第二電極152との間の距離は可変である。その変動する距離は、プラズマキャビティ149内に生成されるプラズマの生成と安定性の制御を援助する。
[0032] 図3及び図4において示されるように、広がっている断面146は円錐又は“漏斗”に似ているのがよい。広がっている断面146の内面150は、広がっている断面146の上部147から下部148まで徐々に傾斜するのがよい。内径150の勾配又は角度は、プロセスの要求及び/又はプロセスの制限によっては変動し得る。広がっている断面146の長さ又は高さも、個々のプロセスの要求に及び/又は制限によっては変動し得る。内径150の勾配、広がっている断面146の高さ、これらの双方は、処理に必要とされるプラズマの容積によっては異なってもよい。
[0033]理論に縛られることを望まないが、二つの電極141、152の間の距離の変動によって、プラズマキャビティ149の全体にわたってでない場合には、プラズマキャビティ149に形成されたプラズマを、必要な電力レベルを見出してプラズマキャビティ149内のある部分でそれ自体を維持させることが可能になることが考えられる。それ故、プラズマキャビティ149内のプラズマは、圧力に左右されず、プラズマが広い操作窓の中で生成され維持されることを可能にする。このように、より反復でき信頼できるプラズマがリッドアセンブリ140内で形成され得る。
[OO34]第一電極141は、いかなるプロセス互換性物質、例えば、アルミニウム、陽極酸化アルミニウム、ニッケルめっきしたアルミニウム、ニッケルめっきしたアルミニウム6061-T6、ステンレス鋼、これらの組合わせや合金からも構成され得る。一つ以上の実施形態において、第一電極141全体又はその一部は、望まれないパーティクル形成を減少させるためにニッケル被覆される。好ましくは、広がっている断面146の少なくとも内面150は、ニッケルメッキされている。
[0035]第二電極152は、一つ以上の積み重ねられたプレートを含むことができる。二枚以上のプレートが望まれる場合、プレートは、互いと電気連通していなければならない。プレートのそれぞれは、流通するプラズマキャビティ149から一つ以上のガスが流れ込むことを可能にするために複数のアパーチャ又はガス通路を含まなければならない。
[0036]リッドアセンブリ140には、更に、第一電極141を第二電極152から電気的に絶縁するアイソレータリング151が含まれてもよい。アイソレータリング151は、酸化アルミニウム又は他のいかなる絶縁性プロセス互換性物質からも製造され得る。好ましくは、アイソレータリング151は、少なくとも広がっている断面146を取り囲むか又は実質的に取り囲んでいる。
[0037]第二電極152には、最上部プレート153と、分配プレート158と、処理チャンバ内の基板をプラズマキャビティから分離するブロッカープレート162とが含まれるのがよい。最上部プレート153と、分配プレート158と、ブロッカープレート162は、図3に示されるようにチャンバ本体101に接続されるリッドリム164上に積み重ねられ配置される。当該技術において既知であるヒンジアセンブリ(図示せず)は、リッドリム164をチャンバ本体101に結合するために使用し得る。リッドリム164は、熱伝達媒体を収容する組込みチャネル又は通路165を含むことができる。熱伝達媒体は、プロセスの要求によっては、加熱、冷却、又はそれらの双方に使用し得る。
[0038]最上部プレート153には、プラズマキャビティ149からのガスがそれを通って流れることを可能にするためにプラズマキャビティ149の下に形成される複数のガス通路又はアパーチャ156が含まれるのがよい。最上部プレート153には、第一電極141の少なくとも一部を収容するように適合されている凹部154が含まれるのがよい。一つ以上の実施形態において、アパーチャ156は、凹部154の下の最上部プレート153の断面を通り抜けている。最上部プレート153の凹部154は、その間で良好な密封した適合を与える図4に示されるように段のある階段であり得る。更にまた、最上部プレート153は、図4に示されるように分配プレート158の外径に取り付けられるか又は載せられるように設計され得る。Oリング型シール、例えば、エラストマーOリング155は、第一電極141との流体密封の接触を確実にするために最上部プレート153の凹部154内に少なくとも部分的に配置され得る。同様に、Oリング型シール157は、最上部プレート153と分配プレート158の外周との間に流体密封の接触を与えるために使用し得る。
[0039]分配プレート158は、ほぼ円盤形であり、それを通ってガスの流れを分配する複数のアパーチャ161又は通路を含む。アパーチャ161は、処理すべき基板70が位置する処理ゾーン110に制御された均一な流れを分配させる大きさがあり且つ分配プレート158の周りに位置決めされ得る。更にまた、アパーチャ161は、流動ガスの速度プロフィルを減速して再び送るだけでなく、基板70の表面全体にガスを均一に分配するようにガスの流れを一様に分配することによって、一つ又は複数のガスが基板70表面上に直接衝突することを防止する。
[0040]分配プレート158は、また、その外周に形成される環状取付けフランジ159を含むことができる。取付けフランジ159は、リッドリム164の上面上に載る大きさであり得る。Oリング型シール、例えば、エラストマーOリングは、リッドリム164との流体密封の接触を確実にするために環状取付けフランジ159内に少なくとも部分的に配置され得る。
[0041]分配プレート158には、リッドアセンブリ140の温度を制御するヒータ又は加熱流体を収容するための一つ以上の組込みチャネル又は通路160が含まれるのがよい。抵抗加熱素子は、分配プレート158を加熱するために通路160内に挿入され得る。熱電対は、その温度を調節するために分配プレート158に接続され得る。熱電対は、当該技術において既知であるように、加熱素子に適用される電流を制御するためにフィードバックループで使用し得る。
[0042]或いは、熱伝達媒体は、通路160を通過し得る。一つ以上の通路160は、チャンバ本体101内のプロセスの要求によっては分配プレート158の温度をより良好に制御するために、必要ならば、冷却媒体を含有することができる。上述のように、例えば、窒素、水、エチレングリコール、又はこれらの混合物のようないかなる熱伝達媒体も用いることができる。
[0043]リッドアセンブリ140は、一つ以上の加熱ランプ(図示せず)を用いて加熱するのがよい。典型的には、加熱ランプは、分配プレート158の上面の周りに配置されて、輻射によって分配プレート158を含むリッドアセンブリ140の要素を加熱する。
[0044]ブロッカープレート162は、所望によるものであり、最上部プレート153と分配プレート158との間に配置されるのがよい。好ましくは、ブロッカープレート162は、最上部プレート153の下面に取り外し可能に取り付けられる。ブロッカープレート162は、最上部プレート153と熱的に且つ電気的に良好な接触をしなければならない。ブロッカープレート162は、ボルト又は類似のファスナを用いている上部プレート153と結合されることができる。ブロッカープレート162は、また、最上部プレート153の外径にねじ山を付けるか又はねじ込まれるのがよい。
[0045]ブロッカープレート162は、最上部プレート153から分配プレート158に複数のガス通路を与えるように複数のアパーチャ163を含む。アパーチャ163は、制御され均一な流れ分配を分配プレート158与える大きさがあり且つブロッカープレート162の周りに位置決めされ得る。
[0046]図5は、説明的支持アセンブリ120を示す部分断面図である。支持アセンブリ120は、チャンバ本体101の範囲内に少なくとも部分的に配置され得る。支持アセンブリ120は、チャンバ本体101内で処理するための基板70(この図には図示せず)を支持する支持部材122を含むことができる。支持部材122は、チャンバ本体101の底面に形成された中心に位置した開口部103を伸びるシャフト126によってリフト機構131に結合され得る。リフト機構131は、シャフト126の周辺から真空漏れを防止するベローズ132によってチャンバ本体101に柔軟に密封され得る。リフト機構131は、支持部材122がプロセス位置とより低い搬送位置の間のチャンバ本体101内に垂直に移動することを可能にする。搬送位置は、チャンバ本体101の側壁に形成されたスリットバルブ111の開口部の幾分下にある。
[0047]一つ以上の実施形態において、基板70(図5に図示せず)は、真空チャックを用いて支持アセンブリ120に固定されるのがよい。最上部プレート123は、支持部材122に形成された一つ以上の溝127と流体連通した複数の穴124を含むことができる。溝127は、シャフト126と支持部材122内に配置された真空コンジット125を経て真空ポンプ(図示せず)と流体連通している。特定の条件下、真空コンジット125は、基板70が支持部材122に配置されていない場合に支持部材122の表面にパージガスを供給するために使用し得る。真空コンジット125によって、また、反応性ガス又は副生成物が基板70の裏面と接触することを防止するために処理の間にパージガスを通すことができる。
[0048]支持部材122は、リフトピン130を収容するためにそれを通って形成される一つ以上の孔129を含むことができる。各リフトピン130は、典型的には、セラミック又はセラミック含有材料から構成され、基板を処理と搬送に用いられる。各リフトピン130は、孔129の中に滑動自在に取り付けられる。リフトピン130は、チャンバ本体101内に配置された環状リフトリング128を係合することによってそれぞれの孔129の中で移動可能である。リフトリング128は移動可能であり、リフトリング128が上の位置にある場合、リフトピン130の上面が支持部材122の基板支持面より上に位置し得る。逆に、リフトリング128が下の位置にある場合、リフトピン130の上面は支持部材122の基板支持面の下に位置する。従って、リフトリング128がいずれも下の位置から上の位置まで移動する場合、各リフトピンの一部は、支持部材122のそれぞれの孔129を通過する。
[0049]作動させる場合、リフトピン130は基板70の下面を押し、基板70を支持部材122から持ち上げる。逆に、リフトピン130は、基板70を下げるために停止させることができ、それによって、基板70が支持部材122上に載せられる。
[0050]支持アセンブリ120は、支持部材122の周りに配置されたエッジリング121を含むことができる。エッジリング121は、支持部材122の外周を覆うと共に支持部材122を保護するように適合される環状部材である。エッジリング121は、支持部材122の外径とエッジリング121の内径との間に環状パージガスチャネル133を形成するために支持部材122上に又はそれに隣接して位置決めされ得る。環状パージガスチャネル133は、支持部材122とシャフト126を通って形成されたパージガスコンジット134と流体連通し得る。好ましくは、パージガスコンジット134は、パージガス源(図示せず)と流体連通しており、パージガスをパージガスチャネル133に供給する。動作中、パージガスは、コンジット134を通って、パージガスチャネル133へ、また、支持部材122に配置された基板のエッジ部の周りに流れる。従って、エッジリング121と共同で作用するパージガスは、基板のエッジ部及び/又は裏面の堆積を防止する。
[0051]支持アセンブリ120の温度は、支持部材122の本体に組込まれた流体チャネル135を通って循環される流体によって制御される。流体チャネル135は、支持アセンブリ120のシャフト126を通って配置された熱伝達コンジット136と流体連通しているのがよい。流体チャネル135は、支持部材122の基板受容面に均一な熱移動を与えるために支持部材122の周りに位置決めされるのがよい。流体チャネル135と熱移動コンジット136は、支持部材122を加熱するか又は冷却するために熱移動流体を流すことができる。支持アセンブリ120は、支持部材122の支持面の温度をモニタするための組込まれた熱電対(図示せず)を更に含むことができる。
[0052]動作中、支持部材122は、処理される基板70の温度を制御するためにリッドアセンブリ140に接近するまで持ち上げることができる。このように、基板70は、加熱素子474によって制御される分配プレート158から放出される輻射によって加熱され得る。或は、リフトリング128によって作動させたリフトピン130を用いて加熱したリッドアセンブリ140に接近するまで支持部材122から基板70を持ち上げることができる。
[0053]ここで、処理チャンバ100内で行われるアンモニア(NH)と三フッ化窒素(NF)ガス混合物を用いて酸化シリコンを除去する例示的ドライエッチングプロセスを記載する。図3及び図5を参照すると、ドライエッチングプロセスは、基板70を処理ゾーン110に入れることから始める。基板70は、典型的には、スリットバルブ開口部111を通ってチャンバ本体101に入れられ、支持部材122の上面に配置される。基板70は支持部材122の上面にチャックされ、エッジパージをチャネル133に通過させる。基板70は、コンジット125を経て真空ポンプと流体連通としている穴124と溝127によって真空を引くことによって支持部材122の上面にチャックされるのがよい。支持部材122は、すでに処理位置にない場合には、チャンバ本体101内の処理位置まで持ち上げられる。チャンバ本体101は、50℃〜80℃の温度に、より好ましくは65℃に維持するのがよい。チャンバ本体101の、この温度は、熱伝達媒体を流体チャネル102に通過させることによって維持される。
[0054]基板70は、熱伝達媒体又は冷却剤を支持アセンブリ120内に形成された流体チャネル135に通過させることによって65℃未満に、例えば、15℃〜50℃に冷却される。一実施形態において、基板70は、室温未満に維持される。他の実施形態において、基板70は、22℃〜40℃の温度に維持される。典型的には、支持部材122は、上で指定された所望の基板温度に達するために約22℃未満に維持される。支持部材122を冷却するために、冷却剤を流体チャネル135に通過させる。支持部材122の温度をより良好に制御するのに冷却剤の連続流が好ましい。
[0055]その後、アンモニアガスと三フッ化窒素ガスをチャンバ100に導入して、洗浄ガス混合物を形成する。チャンバに導入される各ガス量は、可変であり、例えば、除去すべき酸化物層72の厚さ、掃除される基板70の形状、プラズマの容積容量、チャンバ本体101の容積容量だけでなく、チャンバ本体101に結合した真空システム性能を収容するために調整することができる。一態様において、アンモニアと三フッ化窒素とのモル比が少なくとも1:1であるガス混合物を与えるようにガスが添加される。他の態様において、ガス混合物のモル比は、少なくとも約3:1である(アンモニア:三フッ化窒素)。好ましくは、ガスは、5:1(アンモニア:三フッ化窒素)〜30:1のモル比でチャンバ100内に導入される。より好ましくは、ガス混合物のモル比は、約5:1(アンモニア:三フッ化窒素)〜約10:1である。ガス混合物のモル比は、約10:1(アンモニア:三フッ化窒素)〜20:1を包含するのがよい。
[0056]パージガス又はキャリヤガスも、ガス混合物に添加することができる。いかなる適切なパージ/キャリヤガス、例えば、アルゴン、ヘリウム、水素、窒素、又はこれらの混合物も用いることができる。典型例的には、ガス混合物全体は、約0.05%〜約20容積%のアンモニアと三フッ化窒素であり;残部はキャリヤガスである。一実施形態において、反応性ガスの前にパージ又はキャリヤガスをまずチャンバ本体101に導入して、チャンバ本体101内の圧力を安定化させる。
[OO57]チャンバ本体101内の作動圧は、可変であり得る。典型的には、圧力は、約500ミリトール〜約30トールに維持される。好ましくは、圧力は、約1トール〜約10トールに維持される。より好ましくは、チャンバ本体101内の作動圧は、約3トール〜約6トールに維持される。
[0058]約5〜約600ワットのRF電力を第一電極141に印加して、プラズマキャビティ149内のガス混合物のプラズマに点火する。好ましくは、RF電力は100ワット未満である。より好ましくは、電力が印加される周波数は比較的低く、例えば、100kHz未満である。好ましくは、周波数は、約50kHz〜約90kHzの範囲にある。より低い電極153、ブロッカープレート162、分配プレート158があるために、プラズマキャビティ149内で点火されたプラズマは、処理ゾーン110内の基板70と接触せず、その代わりにプラズマキャビティ149内でトラップされたままである。従って、プラズマは、処理ゾーン110に対してプラズマキャビティ149内に離れて生成される。即ち、処理チャンバ100は、二つの異なった領域:プラズマキャビティ149と処理ゾーン110を備える。これらの領域は、プラズマキャビティ149に形成されたプラズマに対して互いに連通せず、プラズマキャビティ149に形成された反応化学種に対して互いに連通している。詳しくは、プラズマから生じる反応性化学種は、アパーチャ156を経てプラズマキャビティ149から出ることができ、ブロッカープレート162のアパーチャ163を通過し、分配プレート158のアパーチャ161を経て処理ゾーン110に入る。
[0059]プラズマエネルギーは、ガス相中高度に反応性のフッ化アンモニア(NHF)化合物及び/又はフッ化水素アンモニウム(NHF・HF)を形成するために結合しているアンモニアガスと三フッ化窒素ガスを反応性化学種に解離する。これらの分子は、アパーチャ156、163、161に流れ込み、基板70の酸化物層72と反応する。一実施形態において、キャリヤガスをまずチャンバ100に導入し、キャリヤガスのプラズマをプラズマキャビティ149内に生成させ、その後、反応性ガス、アンモニアと三フッ化窒素をプラズマに添加する。前述のように、プラズマキャビティ149内に形成されたプラズマは、処理領域又はゾーン110内に配置された基板70に達しない。
[0060]理論によって縛られることを望まないが、エッチングガス、NHF及び/又はNHF・HFが、酸化シリコン表面72と反応して、ヘキサフルオロケイ酸アンモニウム(NH)SiF、NH及びHO生成物を形成すると考えられる。NH及びHOは、処理条件の蒸気であり、真空ポンプ104によってチャンバ100から除去される。特に、ガスが真空ポート107を通って真空ポンプ104へチャンバ100を出る前に、揮発性ガスがライナ108に形成されたアパーチャ109を通ってポンプチャネル106に流れる。(NH)SiFの薄膜が基板70の表面上に残る。この反応機構は、以下の通りまとめることができる:
[0061]NF+NH→NHF+NHF・HF+N
[0062]6NHF+SiO→(NH)SiF+H
[0063](NH)SiF+加熱→NH+HF+SiF
[0064]薄膜が基板表面上に形成された後、基板70がその上に支持された支持部材122を加熱した分配プレート158に接近したアニール位置まで持ち上げる。分配プレート158から放出される熱は、(NH)SiFの薄膜を揮発性のSiF、NH、HFの生成物に解離するか又は昇華させるのに充分でなければならない。その後、これらの揮発性生成物は、上記の通り真空ポンプ104によってチャンバ32から除去される。要するに、薄膜は、沸騰するか又は基板70から蒸発し、さらされたエピタキシー表面74が残る。典型的には、薄膜を基板70から効果的に昇華させ除去するために75℃以上の温度が用いられる。好ましくは、100℃以上、例えば、115℃〜200℃の温度が用いられる。
[0065](NH)SiFの薄膜を揮発性成分に解離する熱エネルギーは、分配プレート158によって対流移動するか又は放出される。上述のように、加熱素子160は、分配プレート158と直接結合されるのがよく、分配プレート158とそれと熱接触した要素を約75℃〜250℃の温度に加熱するために作動させる。一態様において、分配プレート158は、100℃〜200℃、例えば、120℃の温度に加熱される。
[0066]リフト機構131は、分配プレート158の下面の方へ支持部材122を持ち上げることができる。この持上げステップの間、基板70は、例えば、真空チャック又は静電チャックによって支持部材122に固定される。或いは、基板70を支持部材122から持ち上げ、及びリフトリング128によってリフトピン130を持ち上げることによって加熱した分配プレート158に接近して配置することができる。
[0067]その上に薄膜を有する基板70の上面と分配プレート158間の距離は、重要でなく、日常の試験の問題である。当業者は、下に横たわる基板70を損傷させずに薄膜を効率的に且つ効果的に蒸発させるのに必要とされる間隔を容易に決定することができる。しかしながら、約0.254mm(10ミル)〜5.08mm(200ミル)の間隔が有効であると考えられる。
[0068]一旦膜が基板70から除去されると、エピタキシー表面74がさらされ、基板70は続いてのエピタキシャル堆積プロセスの準備ができている。ドライエッチングプロセッサ32は、パージされ排気される。洗浄された基板70は、基板70を搬送位置まで下げ、基板70をチャック開放し、基板70をスリットバルブ開口部111を通って搬送することによってチャンバ本体101から取り出される。第一ロボット20は、基板70をドライエッチングプロセッサ32からエピタキシャル堆積リアクタ34に搬送する。基板70がロードロックシステム10内で残ることから、基板70は、この搬送プロセスの間、いかなる周囲空気にもさらされない。即ち、プラズマキャビティ149と処理ゾーン110とエピタキシャル付着リアクタ34は、互いに真空気密に連通しており、望まれていない酸素をこれらの領域のいずれにも入ることを防止する。従って、エピタキシー表面74は、酸化物で汚染されず、基板70がエピタキシャル堆積リアクタ34に装填される場合に洗浄してさらされるままである。従って、前述したように、エピタキシー表面74上でエピタキシャル層76を直ちに成長させることができる。
[0069]HF-last湿式洗浄ステップを上記の乾式洗浄手順に置き換えることによって、単一ロードロックシステム10においてエピタキシー堆積プロセス全体を行うことが可能である。従って、待ち時間が短縮される。更に、上記の乾式洗浄プロセスが、酸化物-窒素化-シリコン基板においてHFウェットエッチングより酸化物の横方向のエッチングのために下を切り取る問題が少ないことが考えられる。しかしながら、プロセスステップ、特にエピタキシャル堆積の直前の洗浄ステップが変わるときはいつでも、表面がエピタキシャル堆積に許容され得ないリスクがあることは理解される。酸素、フッ素、塩素又は窒素のようなより高レベルのある種の元素が、エピタキシープロセスに悪影響を及ぼすことがある。
[0070]実験として、上記プロセスに従って第一グループの基板上に従来のHF-lastプロセスと第二グループの基板上にプラズマ乾式洗浄プロセスを用いてシリコン基板を洗浄した。プラズマ乾式洗浄プロセスは、アンモニアガスと三フッ化窒素ガスを用い、第二グループの基板を洗浄するために用いた気相中の高度に反応性のフッ化アンモニウム(NHF)化合物及び/又はフッ化水素アンモニウム(NHF・HF)を形成するために結合した反応性化学種に変換するためにプラズマを点火した。その後、エピタキシャル堆積を、850℃、750℃、700℃、650℃の析出温度で行った。その後、選ばれた試料を、X線回折(XRD)と第二イオン質量分析(SIMS)を用いて分析して、エピタキシャル膜/基質接合部の特定元素のレベルを決定した。XRD分析は、成長速度と膜組成物に関して調べた全ての堆積温度についてプラズマを用いてHF-last洗浄した第一グループの基板と乾式洗浄した第二グループの基板との間に差異を示さなかった。SIMSプロファイルは、HF-last洗浄基板と乾式基板は≧800℃で行われたエピタキシャル堆積のためにプラズマを用いて乾燥洗浄した基板が匹敵した。しかしながら、より高レベルのO、F、Cl、Nが、乾式洗浄エッチングプロセスを用いた≦750℃堆積で行われたエピタキシャル堆積のための基板/エピタキシー境界面において見出された。乾式洗浄基板の堆積の前のHClによるインサイチュベークは、前述の境界面に残存している元素を減少させなかった。しかし、この限られた一組の実験は、プラズマを用いた乾式洗浄がエピタキシャル堆積の前に現在用いられているHF-lastプロセスのための許容され得る代用品であることを示した。更に装置及びプロセスの調整と改良は、750℃未満で処理される基板において見出されたレベルのO、F、Cl、Nを低下させることが予想される。
[0071]上述した以外の反応性化学種がドライエッチングステップに可能であることが考えられる;例えば、水素プラズマの添加は、残留元素のレベルを低下させるのを援助することができる。即ち、他の種類のガスがガス分配システム220に導入されてもよく、基板70から遠く離れているプラズマに形成されてもよい。そのように生成したプラズマは、続いて基板70上の酸化物表面72に進み且つそれと反応する反応化学種を形成し、それによってエピタキシー表面74がさらされる。基板70は、酸化物層72の除去を支持するのに必要に応じて加熱されても冷却されてもよい。
[0072]本明細書の本発明を具体的な実施態様によって記載してきたが、これらの実施形態が本発明の原理と適用を単に説明するだけものであることは理解されるべきである。種々の修正や変更が、本発明の精神と範囲から逸脱することなく、本発明の方法と装置になされ得ることは当業者にあきらかである。従って、本発明が添付の特許請求の範囲及びそれらの等価物の範囲内である修正や変更を含むことが意図される。
10…マルチチャンバ処理システム、12…ロードロックチャンバ、14…ロードロックチャンバ、20…ロボット、32、34…エピタキシャル堆積リアクタ、36、38…基板処理チャンバ、42…搬送チャンバ、44…搬送チャンバ、50…第二ロボット、62、64、66、68…処理チャンバ、70…基板、72…表面酸化物、74…エピタキシー表面、76…エピタキシャル層、100…ドライエッチングプロセッサ、101…チャンバ本体、102…チャネル、103…中心に位置した開口部、104…真空ポンプ、106…ポンピングチャネル、107…真空ポート、108…ライナ、109…アパーチャ、110…処理ゾーン、111…スリットバルブ開口部、120…支持アセンブリ、121…エッジリング、122…支持部材、125…真空コンジット、126…シャフト、127…溝、128…環状リフトリング、129…孔、130…リフトピン、131…リフト機構、132…ベローズ、133…環状パージガスチャネル、134…パージガスコンジット、135…流体チャネル、136…熱伝達コンジット、140…リッドアセンブリ、141…第一電極、142…ガス入口、143…上部断面、144…電源、146…広がっている断面、147…上部、148…下部、149…プラズマキャビティ、150…内径、151…アイソレータリング、152…第二電極、153…最上部プレート、155…Oリング、156…アパーチャ、157…シール、158…分配プレート、159…取付けフランジ、160…加熱素子、161…アパーチャ、162…ブロッカープレート、163…アパーチャ、164…リッドリム、165…チャネル又は通路、220…ガス分配システム。

Claims (20)

  1. エピタキシャル堆積法であって:
    処理チャンバ内に基板を導入するステップと;
    プラズマキャビティ内にガス混合物を導入するステップと;
    該ガス混合物を励起して、該キャビティ内に反応性ガスのプラズマを生成させるステップと;
    該処理チャンバ内に該反応性ガスを導入するステップと;
    該基板を該反応性ガスで処理して、エピタキシー表面をさらすステップと;
    該エピタキシー表面上にエピタキシャル層を形成するステップと;
    を含む、前記方法。
  2. 該プラズマが、該基板と接触しない、請求項1に記載の方法。
  3. 該プラズマキャビティが、該処理チャンバから分離される、請求項2に記載の方法。
  4. 該エピタキシャル層を形成するステップが、該基板を周囲空気にさらさずに該基板をエピタキシャル堆積リアクタに移動させる工程を含む、請求項1に記載の方法。
  5. 該プラズマキャビティと該処理チャンバと該エピタキシャル堆積リアクタが、マルチチャンバ処理システムにすべて真空接続されている、請求項4に記載の方法。
  6. 該基板を該反応性ガスと反応させて、揮発性膜を形成するステップと;
    該基板を第一温度に加熱して、該揮発性膜を蒸発させて、該エピタキシー表面をさらすステップと;
    を更に含む、請求項1に記載の方法。
  7. 該基板と該反応性ガスとを反応させつつ、基板を第二温度以下に維持するステップ
    を更に含む、請求項6に記載の方法。
  8. 該第二温度が、15℃〜65℃である、請求項7に記載の方法。
  9. 該第一温度が、少なくとも75℃である、請求項6に記載の方法。
  10. 該第一温度が、250℃未満である、請求項9に記載の方法。
  11. 該ガス混合物が、アンモニア(NH)と三フッ化窒素(NF)を含む、請求項6に記載の方法。
  12. 該反応性ガスが、フッ化アンモニア(NHF)又はフッ化水素アンモニウム(NHF・HF)を含む、請求項11に記載の方法。
  13. 該揮発性膜が、ヘキサフルオロケイ酸アンモニウム((NH)SiF)を含む、請求項12に記載の方法。
  14. マルチチャンバ装置であって、
    エピタキシャル堆積リアクタと;
    該エピタキシャル堆積リアクタと真空気密に接続しているドライエッチングプロセッサであって:
    基板を保持するように適合された処理チャンバと;
    該処理チャンバから離れてプラズマを生成させるように適合されたプラズマキャビティと;
    を備える、前記ドライエッチングプロセッサと;
    基板を該ドライエッチングプロセッサから該エピタキシャル堆積リアクタに搬送する搬送ロボットと;
    を備える、前記装置。
  15. 該処理チャンバが、基板を冷却することができる一つ以上の流体チャネルを含む基板支持体と、該プラズマキャビティと流体連通しているリッドアセンブリの少なくとも下部と、を含み、該リッドアセンブリの該下部が、該基板を対流的に加熱するように適合されている、請求項14に記載のマルチチャンバ装置。
  16. 該プラズマキャビティが、第一電極と第二電極を含み、第一電極が、高周波源、マイクロ波源又は直流源に結合され、該第二電極が、接地され、該リッドアセンブリの該下部と流体連通している、請求項15に記載の装置。
  17. 該支持アセンブリが、該リッドアセンブリの該下部に近接した加熱位置と該リッドアセンブリの該下部から離れたエッチング位置との間で該チャンバ内を移動するように適合されている、請求項16に記載の装置。
  18. 該支持体が、第一端部と第二端部を有する基板支持面と、該第二端部で該第一端部とパージガス源又は真空源と流体連通しているガス通路と、を含む、請求項17に記載の装置。
  19. 該プラズマキャビティが、アンモニア(NH)と三フッ化窒素(NF)を供給することができる供給源に結合されている、請求項16に記載の装置。
  20. 該ドライリアクタが、フッ化アンモニア(NHF)又はフッ化水素アンモニウム(NHF・HF)を含む反応性ガスを形成するように適合されている、請求項16に記載の装置。
JP2016080171A 2006-02-03 2016-04-13 エピタキシャル堆積プロセス及び装置 Active JP6272934B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/346,804 2006-02-03
US11/346,804 US7494545B2 (en) 2006-02-03 2006-02-03 Epitaxial deposition process and apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013076946A Division JP2013175745A (ja) 2006-02-03 2013-04-02 エピタキシャル堆積プロセス及び装置

Publications (2)

Publication Number Publication Date
JP2016167610A true JP2016167610A (ja) 2016-09-15
JP6272934B2 JP6272934B2 (ja) 2018-01-31

Family

ID=38202709

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2008553249A Pending JP2009525611A (ja) 2006-02-03 2007-01-16 エピタキシャル堆積プロセス及び装置
JP2013076946A Pending JP2013175745A (ja) 2006-02-03 2013-04-02 エピタキシャル堆積プロセス及び装置
JP2016080171A Active JP6272934B2 (ja) 2006-02-03 2016-04-13 エピタキシャル堆積プロセス及び装置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2008553249A Pending JP2009525611A (ja) 2006-02-03 2007-01-16 エピタキシャル堆積プロセス及び装置
JP2013076946A Pending JP2013175745A (ja) 2006-02-03 2013-04-02 エピタキシャル堆積プロセス及び装置

Country Status (7)

Country Link
US (1) US7494545B2 (ja)
EP (1) EP1994201A2 (ja)
JP (3) JP2009525611A (ja)
KR (2) KR20080099305A (ja)
CN (1) CN101379214B (ja)
TW (1) TWI355685B (ja)
WO (1) WO2007092130A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019517732A (ja) * 2016-04-07 2019-06-24 アイクストロン、エスイー 半導体基板上の層の形成
JP2020532140A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム

Families Citing this family (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
JP2012519962A (ja) * 2009-03-05 2012-08-30 アプライド マテリアルズ インコーポレイテッド 界面汚染を低減した層を堆積させる方法
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
CN101559946B (zh) * 2009-04-27 2011-01-05 浙江大学 利用等离子体制备硅纳米颗粒的方法及装置
KR101051284B1 (ko) * 2009-06-04 2011-07-22 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8263468B2 (en) 2010-04-24 2012-09-11 International Busienss Machines Corporation Thin body semiconductor devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
JP6022166B2 (ja) 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20130004681A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
JP5917861B2 (ja) 2011-08-30 2016-05-18 株式会社Screenホールディングス 基板処理方法
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014025918A1 (en) * 2012-08-08 2014-02-13 Applied Materials, Inc Linked vacuum processing tools and methods of using the same
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9683308B2 (en) 2013-08-09 2017-06-20 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US20160189958A1 (en) * 2014-12-30 2016-06-30 The Board Of Trustees Of The University Of Arkansas HETEROEPITAXIAL GROWTH OF Ge-Sn ALLOYS
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP2018532258A (ja) * 2015-08-17 2018-11-01 オントス イクイップメント システムズ インコーポレイテッド 大気圧プラズマによる準備工程を使用するエピタキシャル成長
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI782220B (zh) 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
CN105239056B (zh) * 2015-10-27 2018-01-26 上海集成电路研发中心有限公司 一种原子层沉积设备以及方法
US9905412B2 (en) 2015-12-04 2018-02-27 Applied Materials, Inc. Method and solution for cleaning InGaAs (or III-V) substrates
US9972968B2 (en) * 2016-04-20 2018-05-15 Trumpf Photonics, Inc. Passivation of laser facets and systems for performing the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6745166B2 (ja) * 2016-08-12 2020-08-26 株式会社アルバック 成膜方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
KR102312122B1 (ko) * 2016-09-15 2021-10-14 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세스를 위한 통합 시스템
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
JP6697640B2 (ja) * 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN110249417B (zh) * 2017-02-10 2023-10-24 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190006777A (ko) * 2017-07-11 2019-01-21 주식회사 유진테크 기판 처리 장치
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI663674B (zh) * 2017-07-25 2019-06-21 漢民科技股份有限公司 用於半導體製程之腔體蓋與頂板之組合體及成膜裝置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107527870B (zh) * 2017-08-29 2023-08-25 惠科股份有限公司 一种阵列基板的制作方法及其制作设备
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
CN111033680A (zh) * 2017-08-30 2020-04-17 应用材料公司 集成式外延系统高温污染物去除
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112011788A (zh) * 2019-05-29 2020-12-01 成都珠峰永明科技有限公司 硅异质结太阳能电池本征非晶硅膜层的制备方法
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN115681653A (zh) * 2021-07-29 2023-02-03 北京北方华创微电子装备有限公司 半导体工艺设备及其进气装置
CN113745131B (zh) * 2021-08-31 2024-01-16 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers
CN116092953B (zh) * 2023-03-07 2023-07-18 天津中科晶禾电子科技有限责任公司 一种晶圆键合装置、方法及复合衬底组件

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02256235A (ja) * 1988-12-27 1990-10-17 Toshiba Corp 表面処理方法
JPH03280536A (ja) * 1990-03-29 1991-12-11 Toshiba Corp 表面処理方法
JPH10335316A (ja) * 1997-06-04 1998-12-18 Tokyo Electron Ltd 表面処理方法及びその装置
JP2003133284A (ja) * 2001-10-19 2003-05-09 Ulvac Japan Ltd バッチ式真空処理装置
JP2003282530A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
JPH01295412A (ja) * 1988-05-24 1989-11-29 Sumitomo Metal Ind Ltd プラズマ気相成長装置
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3215898B2 (ja) * 1992-04-28 2001-10-09 日本電信電話株式会社 プラズマcvd法およびプラズマcvd装置
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH08191053A (ja) * 1995-01-10 1996-07-23 Kawasaki Steel Corp 半導体装置およびその製造方法
JPH09115860A (ja) * 1995-10-20 1997-05-02 Sony Corp 電子装置およびその製造方法
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02256235A (ja) * 1988-12-27 1990-10-17 Toshiba Corp 表面処理方法
JPH03280536A (ja) * 1990-03-29 1991-12-11 Toshiba Corp 表面処理方法
JPH10335316A (ja) * 1997-06-04 1998-12-18 Tokyo Electron Ltd 表面処理方法及びその装置
JP2003133284A (ja) * 2001-10-19 2003-05-09 Ulvac Japan Ltd バッチ式真空処理装置
JP2003282530A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019517732A (ja) * 2016-04-07 2019-06-24 アイクストロン、エスイー 半導体基板上の層の形成
JP2020532140A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
JP7046162B2 (ja) 2017-08-30 2022-04-01 アプライド マテリアルズ インコーポレイテッド 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム

Also Published As

Publication number Publication date
US7494545B2 (en) 2009-02-24
WO2007092130A3 (en) 2007-09-27
US20070181057A1 (en) 2007-08-09
KR20110019445A (ko) 2011-02-25
CN101379214A (zh) 2009-03-04
KR101201964B1 (ko) 2012-11-20
JP2009525611A (ja) 2009-07-09
JP6272934B2 (ja) 2018-01-31
EP1994201A2 (en) 2008-11-26
CN101379214B (zh) 2013-12-18
TWI355685B (en) 2012-01-01
JP2013175745A (ja) 2013-09-05
TW200737310A (en) 2007-10-01
WO2007092130A2 (en) 2007-08-16
KR20080099305A (ko) 2008-11-12

Similar Documents

Publication Publication Date Title
JP6272934B2 (ja) エピタキシャル堆積プロセス及び装置
KR102360082B1 (ko) 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
US10199215B2 (en) Apparatus and method for selective deposition
KR102619574B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US20190062904A1 (en) Integrated epitaxy system high temperature contaminant removal
CN102569136B (zh) 清洁基板表面的方法和设备
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
US9885123B2 (en) Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
CN111052334B (zh) 集成外延与预清洁系统
KR102663833B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170605

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180104

R150 Certificate of patent or registration of utility model

Ref document number: 6272934

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250