KR102360082B1 - 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템 - Google Patents

고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템 Download PDF

Info

Publication number
KR102360082B1
KR102360082B1 KR1020207008638A KR20207008638A KR102360082B1 KR 102360082 B1 KR102360082 B1 KR 102360082B1 KR 1020207008638 A KR1020207008638 A KR 1020207008638A KR 20207008638 A KR20207008638 A KR 20207008638A KR 102360082 B1 KR102360082 B1 KR 102360082B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
plasma
coupled
gas inlet
Prior art date
Application number
KR1020207008638A
Other languages
English (en)
Other versions
KR20200035187A (ko
Inventor
라라 하우릴착
킨 퐁 로
에롤 씨. 산체스
슈베르트 에스. 츄
투샤르 만드레카
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200035187A publication Critical patent/KR20200035187A/ko
Application granted granted Critical
Publication of KR102360082B1 publication Critical patent/KR102360082B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)

Abstract

일 구현에서, 프로세싱 시스템은, 적어도 하나의 에피택시 프로세스 챔버에 커플링된 제1 이송 챔버; 제2 이송 챔버; 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 트랜지션 스테이션; 기판의 표면으로부터 산화물들을 제거하기 위해 제2 이송 챔버에 커플링된 제1 플라즈마 챔버; 및 제2 이송 챔버에 커플링된 로드 락 챔버를 포함한다. 트랜지션 스테이션은 제1 이송 챔버 및 제2 이송 챔버에 연결되며, 트랜지션 스테이션은 기판의 표면으로부터 오염물들을 제거하기 위한 제2 플라즈마 챔버를 포함한다.

Description

고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
[0001] 본 개시내용의 구현들은 일반적으로, 기판의 표면을 세정하기 위한 장치 및 방법에 관한 것이다.
[0002] 집적 회로들은 실리콘 및 다른 반도체 기판들 내에 그리고 실리콘 및 다른 반도체 기판들 상에 형성된다. 단결정 실리콘의 경우, 용융 실리콘의 배스(bath)로부터 잉곳을 성장시킨 후에, 응고된 잉곳을 다수의 기판들로 절단함으로써, 기판들이 제조된다. 이어서, 도핑되거나 또는 도핑되지 않을 수 있는 결함이 없는 실리콘 층을 형성하기 위해, 단결정질 실리콘 기판 상에 에피택셜 실리콘 층이 형성될 수 있다. 반도체 디바이스들, 이를테면 트랜지스터들이 에피택셜 실리콘 층으로부터 제조될 수 있다. 형성된 에피택셜 실리콘 층의 전기적 특성들은 일반적으로, 단결정질 실리콘 기판의 특성들보다 더 우수하다.
[0003] 단결정질 실리콘 및 에피택셜 실리콘 층의 표면들은 전형적인 기판 제작 설비 주변 조건들에 노출될 때 오염되기 쉽다. 예컨대, 기판들의 핸들링 및/또는 기판 프로세싱 설비에서의 주변 환경에 대한 노출로 인해, 에피택셜 층의 증착 전에 단결정질 실리콘 표면 상에 자연 산화물(native oxide) 층이 형성될 수 있다. 부가적으로, 주변 환경에 존재하는 외래 오염물들, 이를테면 탄소 및 산소 종이 단결정질 표면 상에 증착될 수 있다. 단결정질 실리콘 표면 상의 자연 산화물 층 또는 오염물들의 존재는 단결정질 표면 상에 후속하여 형성되는 에피택셜 층의 품질에 악영향을 미친다. 따라서, 기판들 상에 에피택셜 층들이 성장되기 전에, 표면 산화 및 다른 오염물들을 제거하기 위해, 기판들을 사전-세정하는 것이 바람직하다. 그러나, 사전-세정 프로세스들은 대개, 하나 이상의 독립형 진공 프로세스 챔버들에서 수행되며, 이는 기판 핸들링 시간, 및 주변 환경에 기판들을 노출시킬 가능성들을 증가시킬 수 있다.
[0004] 따라서, 기판 핸들링 시간 및 주변 환경에 대한 노출을 최소화하는, 에피택셜 증착 프로세스를 수행하기 전에 기판 표면을 세정하기 위한 개선된 기판 프로세싱 시스템을 제공하는 것이 본 기술 분야에 필요하다.
[0005] 본 개시내용은 진공 프로세싱 시스템을 설명하며, 그 진공 프로세싱 시스템은, 적어도 하나의 막 형성 챔버에 커플링된 제1 이송 챔버; 제2 이송 챔버; 제1 또는 제2 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버; 제1 또는 제2 이송 챔버에 커플링된 플라즈마 오염물 제거 챔버; 및 제2 이송 챔버에 커플링된 로드 락 챔버를 포함한다.
[0006] 기판을 프로세싱하는 방법이 본원에서 또한 설명되며, 그 기판을 프로세싱하는 방법은, NF3 및 HF를 포함하는 프로세싱 가스에 기판을 노출시키는 것을 포함하는 프로세스에 의해 기판으로부터 산화물들을 제거하는 단계; 수소 라디칼들에 기판을 노출시키는 것을 포함하는 프로세스에 의해 기판으로부터 탄화수소 오염물들을 제거하는 단계; 및 에피택시 프로세스에 의해 기판 상에 막을 형성하는 단계를 포함한다.
[0007] 진공 프로세싱 장치가 본원에서 또한 설명되며, 그 진공 프로세싱 장치는, 제1 이송 챔버; 제1 이송 챔버에 커플링된 적어도 하나의 기상 에피택시 챔버; 제1 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버 ― 플라즈마 산화물 제거 챔버는, 가스 분배기 및 혼합 챔버를 갖는 샤워헤드; 샤워헤드의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제1 가스 유입구; 샤워헤드의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제2 가스 유입구; 및 기판 지지 표면을 갖는 기판 지지부를 포함함 ―; 기판 지지부에 매립된 냉각 채널; 및 제1 또는 제2 이송 챔버에 커플링된 플라즈마-구동 오염물 제거 챔버를 포함하며, 플라즈마 오염물 제거 챔버는 플라즈마 소스; 및 상부에 배치된 기판을 섭씨 25도 내지 섭씨 650도의 온도로 가열하도록 동작가능한 기판 지지부를 포함한다.
[0008] 진공 프로세싱 장치가 본원에서 또한 설명되며, 그 진공 프로세싱 장치는, 제1 이송 챔버; 하나 이상의 패스-스루(pass-through) 스테이션들에 의해 제1 이송 챔버에 커플링된 제2 이송 챔버; 제2 이송 챔버에 커플링된 적어도 하나의 기상 에피택시 챔버; 제1 또는 제2 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버 ― 플라즈마 산화물 제거 챔버는, 가스 분배기 및 혼합 챔버를 갖는 샤워헤드; 샤워헤드의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제1 가스 유입구; 샤워헤드의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제2 가스 유입구; 샤워헤드의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제3 가스 유입구; 및 기판 지지 표면을 갖는 기판 지지부를 포함함 ―; 기판 지지부에 매립된 하나 이상의 저항성 가열기들 및 냉각 채널; 제1 또는 제2 이송 챔버에 커플링된 플라즈마-구동 오염물 제거 챔버 ― 플라즈마 오염물 제거 챔버는 플라즈마 소스; 자기 이온 필터; 및 상부에 배치된 기판을 섭씨 25도 내지 섭씨 650도의 온도로 가열하도록 동작가능한 기판 지지부를 포함함 ―; 및 제2 이송 챔버에 커플링된 로드 락 챔버를 포함한다.
[0009] 앞서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 구현들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 구현들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 일 구현에 따른 프로세싱 시퀀스를 예시한다.
[0011] 도 2a는 본 개시내용의 일 구현에 따른, 도 1의 세정 프로세스를 수행하기 위해 사용되는 세정 챔버의 단면도이다.
[0012] 도 2b는 도 2a의 프로세싱 챔버의 일부의 확대도이다.
[0013] 도 2c는 일 실시예에 따른 기판 지지부의 단면 상세도이다.
[0014] 도 3은 본 개시내용의 일 구현에 따른, 도 1의 환원 프로세스를 수행하기 위해 사용되는 세정 챔버의 단면도이다.
[0015] 도 4는 기판 프로세싱 챔버, 이를테면, 원격 플라즈마 소스를 갖는 프로세싱 챔버 내에 배치될 수 있는 기판 지지부의 사시도이다.
[0016] 도 5는 본원에서 제공되는 본 개시내용의 실시예에 따른, 도 4의 기판 지지부의 일부의 단면도이다.
[0017] 도 6은 에피택셜 증착 프로세스를 수행하기 위한 단일 기판 화학 기상 증착(CVD) 반응기를 예시한다.
[0018] 도 7은 에피택셜 증착 프로세스를 수행하기 위한 배면 가열 프로세스 챔버의 개략적인 단면도를 예시한다.
[0019] 도 8은 에피택셜 증착 프로세스를 수행하기 위한 CVD 챔버의 개략적인 단면도이다.
[0020] 도 9는 본원에서 설명되는 바와 같은 세정 및 증착 프로세스들을 수행하기 위한 예시적인 진공 프로세싱 시스템을 예시한다.
[0021] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 도시된 것이 아니고, 명확성을 위해 간략화될 수 있다. 일 구현의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
[0022] 도 1은 본 개시내용의 일 구현에 따른 프로세싱 시퀀스(100)를 예시한다. 일부 실시예들에서, 프로세싱 시퀀스(100)의 박스들(102-106) 중 2개 이상은 아래에서 더 설명되는 도 9에 예시된 진공 프로세싱 시스템과 같은 하나의 프로세싱 시스템에서 수행될 수 있다.
[0023] 박스(102)에서, 세정 프로세스를 사용하여 반도체 기판의 표면으로부터 산화물들이 제거된다. 기판은 실리콘 함유 재료를 포함할 수 있으며, 표면은 실리콘(Si), 게르마늄(Ge), 또는 실리콘 게르마늄 합금들(SiGe)과 같은 재료를 포함할 수 있다. 일부 구현들에서, Si, Ge, 또는 SiGe 표면은 그 Si, Ge, 또는 SiGe 표면 상에 배치된, 산화물 층, 이를테면 자연 산화물 층 및 오염물들을 가질 수 있다. 산화물들 및 오염물들, 이를테면 탄소 함유 오염물들에 대한 에피택셜 증착 프로세스들의 민감성으로 인해, 수 시간 동안의 가장 전형적인 클린룸 환경들에 대한 노출로부터 기인하는 표면 오염은 축적된 산화물들 및 오염물들이 후속하여 형성되는 에피택셜 층의 품질에 영향을 미칠 정도로 충분히 커질 수 있다.
[0024] 기판 표면은 산화물 제거 프로세스 및 오염물 제거 프로세스를 수행함으로써 세정될 수 있다. 일 구현에서, 세정 프로세스를 사용하여 기판의 표면으로부터 산화물들이 제거되며(박스(102)), 환원 프로세스를 사용하여 기판의 표면으로부터 오염물들, 이를테면 탄소 함유 오염물들이 제거된다(박스(104)). 박스(102)에서 수행되는 세정 프로세스(들)는 임의의 원하지 않는 오염물들을 제거하기 위해 플라즈마를 활용하는 프로세스를 포함할 수 있다. 플라즈마 프로세스는 수소(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), 불소 함유 가스, 이를테면 NF3 또는 HF, 또는 이들 가스들의 임의의 조합을 포함하는 가스로 형성된 플라즈마를 사용할 수 있다. 플라즈마는 유도성 또는 용량성 커플링될 수 있거나, 또는 플라즈마는 프로세싱 챔버에서 마이크로파 소스에 의해 형성될 수 있다. 프로세싱 챔버는 기판이 배치된 프로세싱 구역으로부터 공간적으로 분리된 원격 플라즈마 챔버일 수 있다. 본원에서 설명되는 "공간적으로 분리된"이라는 용어는, 하나 이상의 챔버 컴포넌트들, 이를테면, 도 2a에 도시된 가스 분배 플레이트(230) 및 블로커 플레이트(228), 또는 심지어, 원격 플라즈마 챔버와 기판 프로세싱 챔버 사이의 도관에 의해, 기판 프로세싱 구역으로부터 분리된 플라즈마 형성 구역을 나타낼 수 있다.
[0025] 일 구현에서, 플라즈마는 용량성 커플링 플라즈마 소스를 사용하여 형성된다. 플라즈마로부터의 라디칼들은 기판 위에 배치된 가스 분배 플레이트를 통과할 수 있으며, 그 기판은 섭씨 약 5도 내지 섭씨 약 100도의 온도, 이를테면 섭씨 약 5도 내지 섭씨 약 75도, 예컨대 섭씨 약 10도의 온도로 지지부 상에 포지셔닝된다. 프로세싱 압력은 대기압 미만 압력(subatmospheric pressure), 예컨대 약 500 mTorr 내지 약 20 Torr, 이를테면 약 2 Torr 내지 약 10 Torr의 압력일 수 있다. 라디칼들은 기판에 도달한 후에 표면 산화물들과 반응한다. 플라즈마 에칭 프로세스를 수행하도록 적응될 수 있는 예시적인 프로세싱 챔버들은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 SiCoNiTM 또는 SelectraTM 챔버들을 포함한다. 다른 제조자들로부터의 챔버들이 또한 사용될 수 있다.
[0026] 하나의 예시적인 구현에서, 플라즈마 세정 프로세스는, 가스들 중 하나 이상의 가스들의 플라즈마 부산물들을 선택적으로 포함하는 NF3 및 NH3에 대한 기판의 동시 노출을 수반하는 원격 플라즈마 보조 건식 세정 프로세스이다. 불활성 가스들, 이를테면 아르곤 및 헬륨이 또한 사용될 수 있다. 3개의 가스들(불활성/NF3/NH3) 중 임의의 하나 또는 이들 가스들의 조합이 위에서 설명된 바와 같이 에너지에 노출되어 이들 가스들의 플라즈마가 형성될 수 있다. 플라즈마는 프로세스 챔버로의 전달 전에 다른 가스들과 혼합되거나, 또는 플라즈마 및 다른 가스들이 상이한 경로들을 따라 프로세스 챔버에 제공되어 프로세스 챔버로의 도달 시에 혼합될 수 있다. 일 예에서, 플라즈마 세정 프로세스는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 SiCoNiTM 프로세스와 유사할 수 있거나 또는 SiCoNiTM 프로세스를 포함할 수 있다.
[0027] 원격 플라즈마 프로세스는 산화물 층들에 대해 대체로 등각적(conformal)이고 선택적일 수 있고, 그에 따라, 층들이 비정질인지, 결정질인지, 또는 다결정질인지와 무관하게, 실리콘, 게르마늄, 또는 질화물 층들을 쉽게 에칭하지 않는다. 산화물 대 실리콘 또는 게르마늄에 대한 NF3/NH3 플라즈마 세정 프로세스의 선택비는 적어도 약 3:1, 그리고 일반적으로는 5:1 이상, 때로는 10:1이다. NF3/NH3 플라즈마 세정 프로세스는 또한, 질화물에 비하여 산화물에 대해 매우 선택적이다. 산화물 대 질화물에 대한 NF3/NH3 플라즈마 세정 프로세스의 선택비는 적어도 약 3:1, 일반적으로는 5:1 이상, 때로는 10:1이다.
[0028] 일부 실시예들에서, 원격 플라즈마 프로세스 동안, 또는 원격 플라즈마 프로세스를 수행한 후에, 임의의 생성된 부산물들을 제거하는 것을 돕기 위해, 프로세싱된 기판에 일정 양의 열 에너지가 가해질 수 있다. 일부 실시예들에서, 열 에너지가 복사성, 대류성, 및/또는 전도성 열 전달 프로세스를 통해 제공되며, 이는 기판 표면 상에서 발견되는 원하지 않는 부산물들이 승화되게 한다.
[0029] 박스(103)에서, 박스(104)에서 수행되는 동작을 위해 사용될 챔버에서 선택적인 챔버 컨디셔닝 단계가 수행될 수 있다. 박스(103)에서 수행되는 동작은 수소 라디칼들을 함유하는 가스의 사용을 포함하고, 그에 따라, 노출된 챔버 표면들이 패시베이팅될 수 있다. 일부 실시예들에서, 챔버 표면들은 스팀 또는 수증기에 대한 노출에 의해 패시베이팅될 수 있다. 스팀은 알려진 방법들에 의해 엑스-시튜 또는 인-시튜로 생성될 수 있으며, 챔버 표면들이 1초 내지 60초의 기간, 예컨대 약 30초 동안 스팀에 노출되어, 수소 라디칼들에 대한 내성이 적어도 약간 개선된 표면들이 생성될 수 있다. 스팀 패시베이션 프로세스 동안, 활성 생산 기판이 기판 지지부 상에 배치되어 프로세싱 챔버에 있을 수 있다. 대안적으로, 더미 기판이 기판 지지부 상에 포지셔닝될 수 있다. 기판 지지부가 스팀과 반응할 수 있는 재료로 제조된 경우, 스팀 패시베이션 프로세스 동안 지지부 상에 기판을 포지셔닝하는 것은 스팀과의 반응성을 감소시킬 수 있다. 기판은 스팀 패시베이션 프로세스 동안 섭씨 400도 이상의 온도까지 가열될 수 있다.
[0030] 박스(104)에서, 박스(102)에서 수행되는 프로세스(들)의 사용에 의해 기판의 표면으로부터 산화물들을 제거한 후에, 기판의 표면 상의 임의의 나머지 오염물들이 제거된다. 박스(104)의 일 구현에서, 환원 프로세스를 사용하여 기판의 표면으로부터 오염물들, 이를테면 탄소 또는 탄화수소들이 제거된다. 환원 프로세스는 오염물들을 제거하기 위해 수소 함유 플라즈마를 사용할 수 있다. 플라즈마는 수소 가스(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), 또는 이들 가스들의 임의의 조합을 함유하는 세정 가스로 형성될 수 있다. 플라즈마는 유도성 또는 용량성 커플링 플라즈마 소스의 사용에 의해 형성될 수 있거나, 또는 플라즈마는 프로세싱 챔버에 커플링된 마이크로파 소스의 사용에 의해 형성될 수 있다. 일부 실시예들에서, 플라즈마는, RF, VHF, 및/또는 UHF 전력 소스를 포함하는 유도성 또는 용량성 커플링 플라즈마 소스의 사용에 의해 형성될 수 있다. 플라즈마 소스는 기판이 배치된 프로세싱 챔버로부터 물리적으로 분리된 원격 플라즈마 챔버일 수 있다.
[0031] 일 구현에서, 박스(104)에서 수행되는 환원 프로세스를 수행하기 위해, 원격 플라즈마 소스(RPS)인 유도성 커플링 플라즈마 소스를 사용하여 플라즈마가 형성된다. 플라즈마로부터의 라디칼들은 통로 튜브, 및 기판 위에 배치된 가스 분배 플레이트를 통과할 수 있다. 기판은 섭씨 약 25도 내지 섭씨 약 650도, 이를테면 섭씨 약 100도 내지 섭씨 약 500도, 일부 경우들에서는 적어도 섭씨 약 400도, 일부 경우들에서는 섭씨 약 400도의 온도로 지지부 상에 포지셔닝된다. 프로세싱 압력은 대기압 미만 압력, 예컨대 약 5 Torr 미만의 압력, 또는 약 1 Torr 미만의 압력, 또는 심지어 약 100 mTorr 내지 약 1 Torr의 압력일 수 있다. 환원 프로세스를 수행하도록 적응될 수 있는 예시적인 프로세싱 챔버들은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 AKTIV Pre-CleanTM, PCxT Reactive PrecleanTM(RPC), 또는 SelectraTM 챔버들을 포함한다. 다른 제조자들로부터의 챔버들이 또한 사용될 수 있다.
[0032] 원격 플라즈마 프로세스는 수소 라디칼들을 함유하는 가스를 형성한다. 위에서 설명된 바와 같이, 중성 입자들, 이를테면 수소 라디칼들, 및 다른 라디칼들 및 분자들이 기판을 포함하는 프로세싱 구역으로 통과할 수 있게 하지만 하전 입자들은 우회시키는 자기장으로 둘러싸인 도관을 통해 수소 함유 플라즈마가 통과된다. 탄소를 포함하는 오염물들을 제거하는 환원 프로세스를 수행하기 위해, 수소 라디칼들을 함유하는 가스에 기판이 노출된다. 프로세스는 또한, 기판 표면에서의 결정 구조에서 최소의 결함들로 기판의 표면이 균일하게 수소 종결되게 한다.
[0033] 박스(106)에서, 기판의 표면 상에 에피택셜 층이 형성된다. 위에서 설명된 바와 같이, 이전에 세정된 경우, 기판의 표면에는 한결같이 산화물 및 오염물이 없으며, 이는 기판의 표면 상에 형성되는 성장된 에피택셜 층들의 품질을 개선한다. 예시적인 에피택셜 프로세스는 섭씨 약 800도 미만, 예컨대 섭씨 약 450도 내지 650도의 온도로 수행되는 선택적 에피택셜 프로세스일 수 있다. 에피택셜 층은 고온 화학 기상 증착(CVD) 프로세스를 사용하여 형성될 수 있다. 에피택셜 층은 결정질 실리콘, 게르마늄, 또는 실리콘 게르마늄, 또는 임의의 적합한 반도체 재료, 이를테면 III-V 족 화합물 또는 II-VI 족 화합물일 수 있다. 하나의 예시적인 열 CVD 프로세스에서, 프로세싱 가스들, 이를테면, 클로로실란들 SiHxCl4-x(모노, 디, 트리, 테트라), 실란들 SixH2x+2(실란, 디실란, 트리실란 등), 게르만들 GexH2x+2(게르만, 디게르만 등), 수소 클로라이드 HCl, 염소 가스 Cl2, 또는 이들의 조합들이 에피택셜 층을 형성하기 위해 사용된다. 프로세싱 온도는 섭씨 800도 미만, 이를테면 섭씨 약 300도 내지 섭씨 약 600도, 예컨대 섭씨 약 450도이며, 프로세싱 압력은 5 Torr 내지 600 Torr이다. 에피택셜 증착 프로세스를 수행하기 위해 사용될 수 있는 예시적인 프로세싱 챔버는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 CenturaTM Epi 챔버이다. 다른 제조자들로부터의 챔버들이 또한 사용될 수 있다.
[0034] 도 1을 다시 참조하면, 박스들(102 및 104)에서 설명된 프로세스들이 반전될 수 있다는 것이 고려된다. 부가하여, 박스들(102 및 104)에서 설명된 프로세스들은 필요한 횟수만큼 반복될 수 있다. 프로세스들(102 및 104)이 하나의 챔버에서 또는 2개의 챔버들에서 수행될 수 있다는 것이 유의되어야 한다. 프로세스들(102 및 104)이 하나의 챔버에서 수행되는 경우, 프로세스 케미스트리들 사이의 분리를 제공하기 위해, 불활성 가스들을 사용하여, 선택적인 플러시(flush)가 프로세스들 사이에 수행될 수 있다. 임의의 잔여 부산물들 또는 오염물들을 제거하고, 표면을 어닐링하여 임의의 표면 결함들을 제거하기 위해, 층 형성 프로세스(106)를 수행하기 전에, 프로세스들(102 및 104) 사이에 또는 프로세스들(102 및 104) 후에, 선택적인 열 처리가 또한 수행될 수 있다. 그러한 어닐링은 불활성 가스, 이를테면 아르곤 및 헬륨을 선택적으로 포함하는 수소 분위기 하에서 수행될 수 있고, 그리고 섭씨 400도 내지 800도의 온도들 및 1 Torr 내지 300 Torr의 압력들로 수행될 수 있다.
[0035] 도 2a는 박스(102)에서 발견되는 프로세스들 중 적어도 일부를 수행하도록 적응되어, 기판의 표면으로부터 오염물들, 이를테면 산화물들을 제거하도록 구성된 프로세싱 챔버(200)의 단면도이다. 도 2b는 도 2a의 프로세싱 챔버(200)의 일부의 확대도이다.
[0036] 프로세싱 챔버(200)는 열 또는 플라즈마-기반 세정 프로세스 및/또는 플라즈마 보조 건식 에칭 프로세스를 수행하는 데 특히 유용할 수 있다. 프로세싱 챔버(200)는 챔버 바디(212), 덮개 조립체(214), 및 지지 조립체(216)를 포함한다. 덮개 조립체(214)는 챔버 바디(212)의 상부 단부에 배치되며, 지지 조립체(216)는 챔버 바디(212) 내에 적어도 부분적으로 배치된다. 진공 시스템이 프로세싱 챔버(200)로부터 가스들을 제거하기 위해 사용될 수 있다. 진공 시스템은 챔버 바디(212)에 배치된 진공 포트(221)에 커플링된 진공 펌프(218)를 포함한다. 프로세싱 챔버(200)는 또한, 프로세싱 챔버(200) 내에서의 프로세스들을 제어하기 위한 제어기(202)를 포함한다.
[0037] 덮개 조립체(214)는 챔버(200) 내의 프로세싱 구역(222)에 전구체 가스들 및/또는 플라즈마를 제공하도록 구성된 복수의 스택킹된 컴포넌트들을 포함한다. 제1 플레이트(220)가 제2 플레이트(240)에 커플링된다. 제3 플레이트(244)가 제2 플레이트(240)에 커플링된다. 덮개 조립체(214)는 덮개 조립체(214)에 형성된 원뿔-형상 챔버(242)에 플라즈마를 공급하기 위해 전력 소스(224)에 연결될 수 있다. 덮개 조립체(214)는 또한, 덮개 스택의 상류에서 플라즈마를 생성하는 원격 플라즈마 소스에 연결될 수 있다. 원격 플라즈마 공동(예컨대, 도 2a 및 도 2b의 아이템들(222, 220, 240))이 가스 소스(252)에 커플링된다(또는 원격 플라즈마 소스(224)의 부재 시에 가스 소스(252)가 덮개 조립체(214)에 직접 커플링됨). 가스 소스(252)는 헬륨, 아르곤, 또는 다른 불활성 가스를 제공하도록 구성된 가스 소스를 포함할 수 있다. 일부 구성들에서, 가스 소스(252)에 의해 제공되는 가스는, 원격 플라즈마 소스(224)의 사용에 의해, 덮개 조립체(214)에 제공되는 플라즈마로 에너자이징될 수 있다. 대안적인 실시예들에서, 가스 소스(252)는, 프로세싱 챔버(200) 내에 배치된 기판의 표면에 도입되기 전에 원격 플라즈마 소스(224)에 의해 활성화될 수 있는 프로세싱 가스들을 제공할 수 있다. 도 2b를 참조하면, 원뿔-형상 챔버(242)는 개구(246)를 가지며, 개구(246)는 형성된 플라즈마가 원격 플라즈마 소스(224)로부터 덮개 조립체(214)의 제4 플레이트(250)에 형성된 볼륨(248)으로 유동할 수 있게 한다.
[0038] 덮개 조립체(214)의 일부 구성들에서, 플라즈마 소스로부터 전달되는 에너지의 인가에 의해, 원뿔-형상 챔버(242) 내에 플라즈마가 생성된다. 일 예에서, 원뿔-형상 챔버(242)에 포지셔닝된 가스들에 RF, VHF, 및/또는 UHF 에너지를 용량성 커플링시키기 위해 덮개 조립체(214)를 바이어싱함으로써, 에너지가 제공될 수 있다. 덮개 조립체(214)의 이러한 구성에서, 원격 플라즈마 소스(224)는 사용되지 않을 수 있거나, 또는 덮개 조립체(214) 내에 설치되지 않을 수 있다.
[0039] 제4 플레이트(250)에 형성된 중앙 도관(270)은, 볼륨(248)으로부터 제5 플레이트(254)를 통해 덮개 조립체(214)의 제6 플레이트(268)에 형성된 혼합 챔버(266)로 제공되는 플라즈마 생성 종을 제공하도록 적응된다. 중앙 도관(270)은 제5 플레이트(254) 내의 개구(264)를 통해 혼합 챔버(266)와 연통한다. 개구(264)는 중앙 도관(270)의 직경보다 더 작은 직경, 중앙 도관(270)의 직경보다 더 큰 직경, 또는 중앙 도관(270)의 직경과 동일한 직경을 가질 수 있다. 도 2b의 실시예에서, 개구(264)는 중앙 도관(270)과 동일한 직경을 갖는다.
[0040] 제4 플레이트(250)는 또한, 혼합 챔버(266)에 가스들을 제공하도록 구성된 복수의 유입구들(256 및 258)을 포함한다. 유입구(256)는 제1 가스 소스(260)에 커플링되며, 유입구(258)는 제2 가스 소스(262)에 커플링된다. 제1 가스 소스(260) 및 제2 가스 소스(262)는 프로세싱 가스들 뿐만 아니라, 캐리어 가스로서 활용되는 불활성 가스들, 예컨대 노블 가스들, 이를테면 아르곤 및/또는 헬륨을 포함할 수 있다. 제1 가스 소스(260)는 암모니아(NH3) 뿐만 아니라 아르곤을 포함할 수 있다. 제2 가스 소스(262)는 불소 함유 가스들, 수소 함유 가스들, 또는 이들의 조합을 함유할 수 있다. 일 예에서, 제2 가스 소스(262)는 수소 플루오라이드(HF) 뿐만 아니라 아르곤을 함유할 수 있다.
[0041] 도 2b에 예시된 바와 같이, 일부 구성들에서, 유입구(256)는 플레이트(254)에 형성된 복수의 홀들(265) 및 원통형 채널(259)을 통해 혼합 챔버(266)에 커플링된다. 유입구(258)는 제5 플레이트(254)에 형성된 복수의 홀들(267) 및 원통형 채널(257)을 통해 혼합 챔버(266)에 커플링된다. 플레이트(254)에 형성된 홀들(265, 267)은 일반적으로, 홀들(265, 267)이 혼합 챔버(266) 내로의 가스들(이 가스들은 이들의 각각의 가스 소스(260, 262)로부터 제공됨)의 균일한 유동을 가능하게 하도록 사이즈가 설정된다. 일 구성에서, 개구들(267)은 제4 플레이트(250)에 형성된 원통형 채널(257)의 대향 측벽들에 의해 정의된 개구의 폭보다 더 작은 직경을 갖는다. 개구들(267)은 전형적으로, 챔버(266) 내로의 균일한 유체 유동을 제공하기 위해, 원통형 도관(257)의 중심선의 둘레 주위에 분포된다. 일 구성에서, 홀들(265)은 제4 플레이트(250)에 형성된 원통형 채널(259)의 대향 측벽들에 의해 정의된 개구의 폭보다 더 작은 직경을 갖는다. 홀들(265)은 전형적으로, 챔버(266) 내로의 균일한 유체 유동을 제공하기 위해, 원통형 도관(259)의 중심선의 둘레 주위에 분포된다.
[0042] 유입구들(256 및 258)은 측 방향으로 제4 플레이트(250)를 통과하여 제5 플레이트(254) 쪽으로 휘어져 제5 플레이트(254)를 통해 혼합 챔버(266)까지 관통하는 각각의 유체 유동 경로들을 제공한다. 덮개 조립체(214)는 또한, 샤워헤드와 같은 가스 분배 플레이트일 수 있는 제7 플레이트 또는 제1 가스 분배기(272)를 포함하며, 여기서, 덮개 조립체(214)에서 혼합된 다양한 가스들이, 제7 플레이트 또는 제1 가스 분배기(272)에 형성된 천공부들(274)을 통해 유동된다. 천공부들(274)은 혼합 챔버(266)와 유체 연통하여, 혼합 챔버(266)로부터 제1 가스 분배기(272)를 통하는 유동 경로들을 제공한다. 도 2a를 다시 참조하면, 샤워헤드와 같은 가스 분배 플레이트일 수 있는 제2 가스 분배기(230)와 같은 가스 분배 플레이트 및 블로커 플레이트(228)가 덮개 조립체(214) 아래에 배치된다.
[0043] 대안적으로, 기판 표면을 세정하기 위해, 상이한 세정 프로세스가 활용될 수 있다. 예컨대, He 및 NF3를 함유하는 원격 플라즈마가 덮개 조립체(214)를 통해 프로세싱 챔버(200) 내에 도입될 수 있는 한편, 챔버 바디(212)의 측면에 배치되어 가스 소스(261)에 커플링된 별개의 가스 유입구(225)를 통해 NH3가 프로세싱 챔버(200) 내에 직접 주입될 수 있다.
[0044] 지지 조립체(216)는 프로세싱 동안 기판(210)을 상부에 지지하기 위한 기판 지지부(232)를 포함할 수 있다. 기판 지지부(232)는 챔버 바디(212)의 최하부에 형성된 중앙-위치 개구를 통해 연장되는 샤프트(236)에 의해 액추에이터(234)에 커플링될 수 있다. 액추에이터(234)는 샤프트(236) 주위의 진공 누설을 방지하는 벨로즈(미도시)에 의해 챔버 바디(212)에 유연하게 밀봉될 수 있다. 액추에이터(234)는 기판 지지부(232)가 챔버 바디(212) 내에서 프로세싱 포지션과 로딩 포지션 사이에 수직으로 이동될 수 있게 한다. 로딩 포지션은 챔버 바디(212)의 측벽에 형성된 터널(미도시)의 개구보다 약간 아래에 있다.
[0045] 기판 지지부(232)는 프로세싱될 기판을 상부에 지지하기 위한 평탄한 또는 실질적으로 평탄한 기판 지지 표면을 갖는다. 기판 지지부(232)는, 샤프트(236)에 의해 기판 지지부(232)에 커플링된 액추에이터(234)에 의해, 챔버 바디(212) 내에서 수직으로 이동될 수 있다. 일부 단계들의 경우, 기판 지지부(232)는, 프로세싱되는 기판(210)의 온도를 제어하기 위해, 덮개 조립체(214)에 매우 근접한 포지션으로 상승될 수 있다. 따라서, 기판(210)은, 제2 가스 분배기(230) 또는 다른 복사 소스로부터 방출되는 복사에 의해, 또는 개재(intervening) 가스를 통한 제2 가스 분배기(230)로부터의 대류 또는 전도에 의해, 가열될 수 있다. 일부 프로세스 단계들에서, 부가적인 열 프로세싱 단계들을 수행하기 위해, 이를테면 어닐링 단계를 수행하기 위해, 기판이 리프트 핀들(251) 상에 배치될 수 있다.
[0046] 도 2c는 기판 지지부(232)의 단면 상세도이다. 기판 지지부(232)는 유체 공급 도관(241) 및 유체 리턴 도관(243)과 유체 연통하는 열 제어 플리넘(235)을 포함하며, 도관들(241 및 243) 각각은 샤프트(236)를 통해 배치된다. 열 제어 플리넘(235)은, 냉각 유체가 유체 공급 도관(241)을 통해 열 제어 플리넘(235) 내로 흐르게 하여 유체 리턴 도관(243)을 통해 밖으로 순환되게 하는 것에 의한, 기판 지지부(232)를 위한 냉각 피처일 수 있다.
[0047] 기판 지지부(232)는 또한, 복수의 가열기들(237 및 239)을 포함할 수 있다. 이 실시예에서, 복수의 가열기들은 제1 가열기(237) 및 제2 가열기(239)를 포함한다. 제1 및 제2 가열기들(237 및 239)은 기판 지지 표면과 가열기들 사이의 열 커플링을 가능하게 하기 위한 위치에서 기판 지지부(232) 내에 실질적으로 공면적인 관계로 배치된다. 제1 가열기(237)는 기판 지지부(232)의 주변부에 배치되고, 제2 가열기(239)는 기판 지지부(232)의 중앙 영역에 배치되어, 구역 온도 제어가 제공된다. 제1 및 제2 가열기들(237 및 239) 각각은 저항성 가열기들일 수 있으며, 그 저항성 가열기들은 샤프트(236)를 통해 각각 배치된 각각의 전력 도관들(249 및 247)에 의해 전력 소스들(미도시)에 커플링된다.
[0048] 동작 시, 온도 제어는 열 제어 플리넘(235)과 가열기들(237 및 239)의 동시 동작에 의해 제공될 수 있다. 열 제어 플리넘(235)에는 위에서 설명된 바와 같이 냉각 유체가 공급될 수 있으며, 저항성 가열기들로서 가열기들(237 및 239)에 전력이 제공될 수 있다. 이러한 방식으로, 별개의 제어 회로들은 하나의 아이템, 예컨대 가열기들(237 및 239)에 대해 빠른 응답을 제공하고, 열 제어 플리넘(235)에 대해 더 느린 응답을 제공하거나, 또는 그 반대가 되도록 튜닝될 수 있다. 최소한, 최적화된 구역 온도 제어 시스템을 달성하기 위해, 열 제어 플리넘(235), 제1 가열기(237), 및 제2 가열기(239)에 상이한 제어 파라미터들이 적용될 수 있다.
[0049] 도 2c에 도시된 바와 같이, 별개의 리프트 부재(245)가 지지 조립체(216)에 포함될 수 있다. 기판이 기판 지지 표면 상에 놓일 때, 부재(245)의 리프트 핀들(251)을 수용하기 위해, 리세스(recess)(미도시)가 기판 지지 표면에 제공될 수 있다. 리프트 부재(245)는, 샤프트(236)를 통해 배치된 리프트 부재(245)의 연장부에 의해, 리프트 액추에이터(255)에 커플링될 수 있다. 리프트 액추에이터는 제1 가스 분배기(272) 쪽으로 기판 지지 표면으로부터 기판을 리프팅하기 위해 리프트 부재(245)를 수직으로 이동시킬 수 있다. 리프트 부재(245)는, U-형상, 원형, 말굽-형상, 또는 임의의 편리한 형상일 수 있는, 개방형 후프 또는 폐쇄형 후프와 같은 후프일 수 있다. 리프트 부재(245)는 기판을 리프팅할 때 구조적 강도를 제공하기 위한 두께를 갖는다. 일 예에서, 리프트 부재는 세라믹 재료로 제조되고, 두께가 약 1 mm이다.
[0050] 도 3은 박스(104)에서 발견되는 프로세스들 중 적어도 일부를 수행하여, 기판의 표면 상에 축적된 오염물들, 이를테면 탄소 또는 탄화수소들을 제거하는 데 사용될 수 있는 프로세싱 챔버(300)의 단면도이다. 프로세싱 챔버(300)는 챔버 바디(310)를 가지며, 챔버 바디(310)는 챔버 인클로저(316), 프로세스 키트 하우징(318), 및 덮개(340)를 포함한다. 챔버 인클로저(316) 및 덮개(340)는 알루미늄, 스테인리스 강, 또는 다른 적합한 재료들로 제작될 수 있다. 프로세스 키트 하우징(318)은 알루미늄 합금 또는 다른 적합한 재료들로 제작될 수 있다. 덮개(340)는 프로세스 키트 하우징(318)을 통해 챔버 인클로저(316)에 제거가능하게 커플링된다.
[0051] 프로세스 키트 하우징(318)은 링-형상 하우징일 수 있으며, 그 링-형상 하우징은 덮개(340)에 커플링된 최상부 표면, 및 챔버 인클로저(316)에 커플링된 최하부 표면을 갖는다. 프로세스 키트 하우징(318)은 프로세스 키트 하우징(318)의 내측 표면(331)으로부터 하방으로 연장되는 차폐 부분(329)을 갖는다. 프로세스 키트 하우징(318)의 내측 표면(331)은 가스 분배 플레이트(326)를 둘러싸고, 그 내측 표면(331) 상에 가스 분배 플레이트(326)를 지지한다. 가스 분배 플레이트(326)는 석영 샤워헤드일 수 있다. 가스 분배 플레이트(326)와 덮개(340) 사이에 플리넘(348)이 정의된다. 가스 분배 플레이트(326)는 가스 분배 플레이트(326)의 두께를 통해 형성된 복수의 애퍼처들(327)을 포함하여, 가스들이 포트(342)를 통해 플리넘(348) 내로 유동할 수 있게 한다. 일부 구성들에서, RPS(350)로부터 제공되는 가스들이 342를 통해 348로 유동한다. 이어서, 가스 분배 플레이트(326) 내의 애퍼처들(327)은 기판(308)을 포함하는 프로세싱 구역(330) 내로 가스들이 유동할 수 있게 한다. 애퍼처들(327)은, 기판(308)으로의 가스들 또는 라디칼들의 균일한 분배를 보장하기 위해, 가스 분배 플레이트(326)의 직경에 걸쳐 균일하게 분포된다. 애퍼처들(327)을 통해 유동하는 가스들은 가스 분배 플레이트(326)와 가열기(314) 사이에 정의된 프로세스 구역(330)에 배치된 기판(308)에 걸쳐 분배된다. 차폐 부분(329)은 또한, 프로세스 구역(330) 내에 전기적 중성 라디칼들을 구속시키는 것을 돕는다. 일 예에서, 차폐 부분(329)은, 기판이 프로세스 포지션에 있을 때, 가열기(314)의 에지에 인접하거나 또는 가열기(314)의 에지 아래에 있는 위치까지 연장된다.
[0052] 프로세싱 챔버(300)는 도관(360)에 의해 포트(342)에 커플링된 원격 플라즈마 소스(350)를 포함한다. 포트(342)는 덮개(340)에 형성된다. 도관(360)은 통로(356)를 정의하며, 통로(356)는 제1 내경, 및 제1 내경보다 더 큰 제2 내경을 가질 수 있다. 제1 내경은 원격 플라즈마 소스(350) 근방에 배치될 수 있으며, 제2 내경은 덮개(340) 근방에 배치될 수 있다. 일 예에서, 제1 내경은 약 12 mm 내지 약 50 mm, 예컨대 약 20 mm일 수 있으며, 제2 내경은 약 35 mm 내지 약 60 mm, 예컨대 약 40 mm일 수 있다.
[0053] 도관(360)은 원격 플라즈마 소스(350)에서 생성된 이온들을 프로세스 구역(330)에 진입하기 전에 필터링하는 한편, 전기적 중성 라디칼들이 프로세스 구역(330)에 진입할 수 있게 하도록 구성된다. 따라서, 프로세스 구역(330) 내의 이온들의 상대적인 농도가 감소된다. 일 구현에서, 통로(356)를 통해 유동하는 가스들은 통로 튜브(360) 근방에 배치된 하나 이상의 자석들에 의해 생성되는 자기장에 의해 필터링된다. 자석들은, 원격 플라즈마 소스(350)로부터 유동하는 반응성 라디칼들과 함께 혼입(entrain)되는 하전 입자들을 필터링하기 위해, 도관(360)에 걸쳐 자기장을 생성한다.
[0054] 도 3에 도시된 구현에서, 제1 자석(352) 및 제2 자석(354)이 도관(360) 근방에 배치된다. 제1 자석(352) 및 제2 자석(354)은 영구 자석들 또는 전자석들일 수 있다. 자석들(352, 354)은 도관(360)의 제1 내경을 가로질러 서로 대향하게 배치될 수 있다. 예컨대, 자석들(352, 354)은 도관(360)의 외측 주변부의 대향 측면들 상에 접착 또는 고정될 수 있다. 대안적으로, 자석들(352, 354)은 챔버 덮개(340), 또는 챔버 바디(310)의 다른 컴포넌트들에 고정될 수 있다. 통로 튜브(360) 내에 형성된 통로(356)와 대향 자석 사이의 상대적인 거리는 통로(356)를 통과하는 자기장의 강도에 영향을 미치고, 그에 의해, 필터링 효율에 영향을 미친다. 자기장은 또한, 상이한 자석들을 사용함으로써, 즉, 자석들(352, 354)을 상이한 강도로 대체함으로써, 조정될 수 있다. 통과하는 하전 입자들은 도관(360)의 내측 표면(370)과 접촉하도록 끌어당겨지고, 전기적 중성인 비-이온성 종이 된다. 따라서, 필터링된 전기적 중성 라디칼들은 기판의 표면으로 전달되어, 그 기판의 표면 상의 오염물들과 반응하여 그 오염물들을 세정한다.
[0055] 대안적으로, 일부 실시예들에서, 제1 자석(352) 및 제2 자석(354)은 도관(360) 주위에 배치된 단일 링 자석으로 대체된다. 단일 링 자석은 영구 자석 또는 전자석일 수 있다.
[0056] 일부 구현들에서, 챔버 바디(310) 내로 통과하는 프로세스 가스들(즉, 라디칼들 및 이온들)의 유동 경로를 덮도록 석영 함유 표면들이 포지셔닝된다. 예컨대, 통로(356)를 정의하는 도관(360)의 내측 표면(370)은 전체적으로 또는 부분적으로 석영으로 코팅될 수 있거나 또는 석영으로 제작될 수 있다. 일 구성에서, 플리넘(348) 및/또는 가스 분배 플레이트(326)를 정의하는 표면들이 또한, 전체적으로 또는 적어도 부분적으로 석영으로 코팅될 수 있거나 또는 석영으로 제작될 수 있다. 예컨대, 도 3의 구현에서, 프로세스 키트 하우징(318)의 내측 표면(331)을 따라 최상부 라이너(324)가 배치될 수 있다. 최상부 라이너(324)는 플리넘(348)을 둘러싸는 링-형상 바디를 가질 수 있으며, 최상부 라이너(324)의 내측 표면은 플리넘(348)의 외측 경계를 정의한다. 최상부 라이너(324)는 석영으로 제조될 수 있다. 최상부 라이너(324)는 가스 분배 플레이트(326) 상에 놓일 수 있거나, 또는 임의의 다른 적합한 고정 접근법(approach)에 의해 지지될 수 있다.
[0057] 덮개(340)의 최하부 표면을 따라 라이너 플레이트(344)가 배치될 수 있다. 라이너 플레이트(344)는 석영으로 코팅될 수 있거나 또는 석영으로 제작될 수 있다. 라이너 플레이트(344)는 플리넘(348)의 상부 경계를 정의한다. 따라서, 라이너 플레이트(344), 최상부 라이너(324), 및 가스 분배 플레이트(326)는 플리넘(348)을 정의한다. 프로세스 키트 하우징(318)의 내측 표면(331)을 따라 최하부 라이너(325)가 배치될 수 있다. 최하부 라이너(325)는 링-형상 바디를 가질 수 있고, 그리고 동작을 위해 조립될 때 프로세스 구역(330)을 둘러싸며, 최하부 라이너(325)의 내측 표면은 프로세스 구역(330)의 외측 경계를 정의한다. 최하부 라이너(325)는 석영으로 코팅될 수 있거나 또는 석영으로 제작될 수 있다. 최하부 라이너(325)는 차폐 부분(329)에 의해 지지될 수 있다. 도시된 바와 같은 일 예에서, 레지(303)가 최하부 라이너(325)를 지지하기 위해 차폐 부분(329)의 단부에서 반경 방향 내측으로 연장된다. 따라서, 도관(360), 라이너 플레이트(344), 최상부 라이너(324), 최하부 라이너(325), 및 가스 분배 플레이트는 함께, 프로세스 가스들의 유동 경로를 따라 석영 표면을 제공한다.
[0058] 기판 지지부(314)가 챔버 바디(310)의 프로세스 구역(330)에 배치된다. 기판 지지부(314)는 중앙 샤프트(341)를 통해 챔버 인클로저(316)의 최하부에 커플링된다. 기판 지지부(314)는 프로세스, 이를테면 박스들(102 및 104)에 대하여 위에서 설명된 프로세스들 동안 기판(308)을 상부에 지지하기 위한 기판 지지 표면을 갖는다. 선택적인 포커스 링(338)이 기판 지지 표면의 외측 주변부 주위에서 기판 지지부(314) 상에 배치될 수 있다. 포커스 링(338)은 프로세싱 동안 기판(308) 위의 영역 내에 플라즈마 또는 중성 종을 구속시킨다. 포커스 링(338)은 석영으로 제작될 수 있다.
[0059] 기판 지지부(314)는 알루미늄으로 제작될 수 있고, 복수의 사파이어 접촉부들(미도시)이 기판 지지 표면 상에 배치되어, 사파이어 접촉부들 상에 배치된 기판과 기판 지지 표면 사이의 접촉이 최소화될 수 있다. 기판 지지부(314)는 로딩 포지션과 프로세싱 포지션 사이에서 수직으로 이동하도록 구동 유닛(337)에 의해 작동된다. 기판 지지부(314)는 기판 지지 표면에 균일한 열 에너지를 제공하기 위해 기판 지지부(314)에 매립된 하나 이상의 가열 엘리먼트들(335)을 가질 수 있다. 적합한 가열 엘리먼트들(335)은, 다른 가열 디바이스들 중에서, 저항성 가열기들, 열전 디바이스들, 또는 열 전달 유체를 유동시키기 위한 도관들을 포함할 수 있다. 가열 엘리먼트들(335)은 약 25 ℃ 내지 약 500 ℃, 예컨대 약 300 ℃ 내지 약 350 ℃, 약 350 ℃ 내지 약 450 ℃, 또는 약 450 ℃ 내지 약 500 ℃의 온도 범위로 기판(308)의 온도가 유지될 수 있게 한다. 일부 구현들에서, 가열기(314)는 기판 지지 표면의 주변 에지를 통해 형성된 컷아웃들을 가질 수 있고, 그에 따라, 기판 핸들러(미도시)는, 기판 지지부(314)가 로딩 포지션에 포지셔닝될 때, 리프트 핀들(미도시)로부터 기판(308)을 픽-업(pick-up) 또는 드롭-오프(drop-off)할 수 있다. 세정 프로세스(박스(102)) 동안, 예컨대, 기판 지지부(314) 및 기판(308)은 프로세싱 포지션에 포지셔닝되며, 그 프로세싱 포지션은 로딩 포지션 위에 그리고 가스 분배 플레이트(326)에 더 가까이 배치된다.
[0060] 프로세싱 챔버(300)는 펌프(317)를 포함한다. 펌프(317)는 포어라인(361)을 통해 챔버 바디(310)에 연결된다. 포어라인(361)은 인클로저(316)의 최하부에 형성된 개구(315)에서 챔버 바디(310)에 연결된다. 챔버(300)는 또한, 포어라인(361)에 배치된 스로틀 밸브(363)를 포함한다. 스로틀 밸브(363)는 프로세싱 동안 프로세싱 챔버(300)에서 원하는 진공 압력을 유지하기 위해 필요한 정도로 개방 및 폐쇄되도록 구성된다. 펌프(317) 및 스로틀 밸브(363)는 챔버 바디(310) 내부의 압력을 약 0.005 Torr 내지 750 Torr, 예컨대 약 40 Torr 내지 약 500 Torr로 제어한다. 일 예에서, 펌프(317)는 프로세싱 챔버(300) 내부의 압력을 약 0.1 Torr 내지 약 40 Torr의 예시적인 압력 범위, 예컨대 약 30 Torr로 유지하는 드라이 펌프(dry pump)이다. 일 예에서, 펌프(317)는 프로세싱 챔버(300) 내부의 압력을 약 100 mTorr 내지 약 500 mTorr의 예시적인 압력 범위, 예컨대 약 150 mTorr로 유지하는 저압 펌프이다. 일부 예들에서, 펌프(317)는 프로세싱 챔버(300) 내부의 압력을 약 20 mTorr 내지 500 mTorr의 예시적인 압력 범위로 유지하는 터보 펌프이다.
[0061] 도 4는 기판 프로세싱 챔버, 이를테면, 원격 플라즈마 소스(RPS)를 갖는 프로세싱 챔버 내에 배치될 수 있는 기판 지지부(400)의 사시도이다. 기판 지지부(400)는 프로세싱 챔버(300)에서 사용되는 기판 지지부(314)의 예이다.
[0062] 기판 지지부(400)는 일반적으로, 지지 바디(402), 및 지지 바디(402)에 커플링된 샤프트(404), 및 샤프트(404) 주위에 배치된 벨로즈(406)를 포함한다. 벨로즈(406)는 샤프트(404) 및/또는 지지 바디(402)의 최하부에 커플링된다. 일 예에서, 벨로즈(406)의 최하부는 기판 프로세싱 챔버의 최하부에 진공-밀폐 방식으로 부착된다. 구동 유닛(416)이 기판 프로세싱 챔버에 대한 지지 바디(402)의 수직 운동을 가능하게 하기 위해 샤프트(404)에 커플링될 수 있다. 일부 구현들에서, 구동 유닛(416)은 샤프트(404) 그리고 그에 따라 지지 바디(402)를 회전시키도록 구성될 수 있다.
[0063] 지지 바디(402)는 기판 지지 표면(408)을 갖는다. 지지 바디(402)는, 프로세스, 이를테면 플라즈마 세정 프로세스 동안 기판 지지 표면(408) 상에 배치될 기판에 균일한 열 에너지를 제공하기 위해 지지 바디(402)에 매립 또는 포함된 하나 이상의 가열 엘리먼트들(520)(도 5 참조)을 갖는다. 가열 엘리먼트들(520)은 기판의 균일한 가열을 보장하기 위해 방위각 방향 대칭 패턴으로 배열될 수 있다. 적합한 가열 엘리먼트들은, 다른 가열 디바이스들 중에서, 저항성 가열기들, 열전 디바이스들, 또는 열 전달 유체를 유동시키기 위한 도관들을 포함할 수 있다. 일 예에서, 가열 엘리먼트들은 저항성 가열 코일들이다. 가열 엘리먼트들은 약 25 ℃ 내지 약 650 ℃ 이상, 예컨대 약 300 ℃ 내지 약 350 ℃, 약 350 ℃ 내지 약 450 ℃, 약 450 ℃ 내지 약 550 ℃, 약 550 ℃ 내지 약 650 ℃ 이상의 온도 범위로 기판의 온도가 유지될 수 있게 한다.
[0064] 기판 지지 표면(408)은 기판 프로세싱 챔버에서 프로세싱 동안 기판이 상부에 놓이는 복수의 접촉 포인트들(410)을 갖는다. 접촉 포인트들(410)은 기판 지지 표면(408)에 걸쳐 분포된다. 일 구현에서, 접촉 포인트들(410)은 지지 바디(402)의 중앙 포인트(412)를 중심으로 동심 원들로 배열된다. 부가적으로 또는 대안적으로, 접촉 포인트들(410)은 기판의 균일한 프로세싱을 보장하기 위해 방위각 방향 대칭 패턴으로 배열될 수 있다. 접촉 포인트들(410)은 돌출부들 또는 범프들의 형태일 수 있다. 돌출부들 또는 범프들은 기판이 기판 지지 표면(408)과 직접 접촉하는 것을 방지하기 위해 최소화된 접촉 표면적을 제공한다. 일 구현에서, 접촉 포인트들(410)은 사파이어 볼들이다.
[0065] 지지 바디(402)는 지지 바디(402)의 주변 에지에 배치된 복수의 컷아웃들(414)을 가질 수 있다. 컷아웃들은 지지 바디의 전체 두께를 통해, 즉, 기판 지지 표면(408)으로부터 지지 바디(402)의 배면까지 형성된다. 컷아웃들(414)은 지지 바디(402)의 주변부 주위에서 동일하게 이격될 수 있다. 일 실시예에서, 4개의 컷아웃들(414)이 지지 바디(402)의 주변 에지에서 대칭적으로 배치된다. 컷아웃들(414)은, 지지 바디(402)가 로딩 포지션에 포지셔닝될 때, 기판 핸들러(미도시)가 리프트 핀들(미도시)로부터 기판을 픽-업 또는 드롭-오프할 수 있도록 사이즈가 설정된다.
[0066] 지지 바디(402)는 세라믹, 알루미늄, 또는 다른 적합한 재료, 이를테면 알루미늄 질화물로 제작될 수 있다. 샤프트(404)는 금속(예컨대, 알루미늄) 또는 세라믹 재료, 이를테면, 알루미늄 질화물, 알루미늄 산화물, 또는 도핑된 세라믹들, 이를테면, 티타늄 질화물 또는 크롬 질화물로 도핑된 알루미나, 도핑된 알루미늄 산화물, 도핑된 붕소-질화물 등으로 제작될 수 있다. 일 실시예에서, 샤프트(404)는 약 95% 순수 알루미늄 질화물로 형성된다. 일 실시예에서, 샤프트(404) 및 지지 바디(402)는 동일한 재료로 제작될 수 있다.
[0067] 도 5는 도 4의 기판 지지부(400)의 일부의 단면도이다. 지지 바디(402)의 일 구현은 접촉 포인트들(410), 이를테면 사파이어 볼들을 수용하기 위해 기판 지지 표면(408)에 형성된 복수의 공동들(510)을 갖는다. 지지 바디의 다른 버전은, 지지 바디와 접촉 포인트들이 일체형 재료 피스가 되도록, 지지 바디(402)의 표면 내로 직접 머시닝된 접촉 포인트들을 갖는다. 지지 바디의 제3 버전은 증착 프로세스, 이를테면 CVD, PVD, 또는 증발 증착 프로세스를 통해 지지 바디 상에 증착된 접촉 포인트들을 갖는다. 샤프트(404)는 내부에 중앙 개구(512)를 정의하는 측벽(518)을 갖는 중공부(hollow)이다. 중앙 개구(512)는 전력 라인(528)이 통과할 수 있게 한다. 전력 라인(528)의 하나의 단부는 하나 이상의 가열 엘리먼트들(520)에 연결되는 한편, 전력 라인(528)의 다른 단부는 가열 전력 소스(532), 이를테면 DC 또는 AC 전력 소스에 연결된다.
[0068] 샤프트(404)는 냉각 유체 소스(미도시)에 연결된 채널(530)을 포함할 수 있다. 채널(530)은, 샤프트(404) 그리고 그에 따른 지지 바디(402)의 온도 및 프로세스 동안 지지 바디(402) 상에 배치된 기판의 온도를 제어하도록, 냉각 유체 소스로부터 냉각 유체를 순환시키기 위해, 샤프트(404)의 임의의 원하는 위치 내에 배치될 수 있다.
[0069] 기판 지지부(400)는 온도, 이를테면, 기판 지지부(400)의 온도, 기판 지지 표면(408)의 온도, 또는 기판 지지 표면(408) 상에 배치될 때 기판의 온도를 측정하기 위해 기판 지지부(400)에 배치된 열전대(534)를 포함할 수 있다. 열전대(534)는 임의의 적합한 열전대 설계, 이를테면 열전대 프로브 등일 수 있다. 열전대(534)는 열전대(534)에 의해 측정된 온도에 기반하여 전력 공급부(536)를 제어할 수 있는 온도 제어기(535)에 커플링될 수 있다.
[0070] 일부 실시예들에서, 가열 엘리먼트들(520)은 외측 구역(546) 및 내측 구역(548)을 포함하는 다-구역 가열기를 포함하며, 외측 구역(546) 및 내측 구역(548)은 독립적으로 제어될 수 있다. 퍼지 가스 유입구(550) 및 유출구(552)가 기판 지지부(400)에 제공될 수 있다. 유입구(550) 및 유출구(552)는 기판 지지 표면(408) 상의 기판에 배면 가스를 공급하기 위해 활용될 수 있다. 가스 채널(552)은 또한, 페데스탈 표면(408)에 기판을 홀딩하도록, 기판의 전면과 배면 사이에 압력차를 생성하기 위해, 진공 펌프 또는 저압 구역에 연결될 수 있다. 일부 실시예들에서, 기판 지지 표면(408) 위에 에지 링(554)이 제공될 수 있다.
[0071] 도 6은 일 실시예에 따른, 석영 프로세스 또는 반응 챔버(605)를 포함하는 단일 기판 화학 기상 증착(CVD) 반응기(600)를 예시한다. 반응기(600)는 본원에서 개시되는 바와 같은, SiGe 및 Ge 막들을 포함하는 다수의 상이한 재료들의 CVD를 위해 활용될 수 있다. 더욱이, 예시된 반응기(600)는, 아래의 논의로부터 명백하게 될 바와 같이, 동일한 챔버(605)에서 다수의 증착 단계들을 달성할 수 있다.
[0072] 챔버(600)는 일반적으로, 직사각형 박스의 형상을 가질 수 있다. 석영 챔버(605)의 벽들에 의한 상당한 흡수 없이 챔버(605)에 열 에너지를 제공하기 위해, 복수의 복사 열 소스들이 챔버(605) 외부에 지지된다. 반도체 기판들을 프로세싱하기 위한 "저온 벽(cold wall)" CVD 반응기의 상황에서 실시예들이 설명되지만, 본원에서 설명되는 방법들은 유도성 또는 저항성 가열을 이용하는 것들과 같은 다른 가열/냉각 시스템들과 관련하여 유용할 것임이 이해될 것이다.
[0073] 복사 열 소스들은 세장형 튜브-타입 복사 가열 엘리먼트들(610)의 상부 가열 조립체를 포함한다. 상부 가열 엘리먼트들(610)은 이격 평행 관계로 배치되고, 그리고 또한, 반응 챔버(605)를 통하는 반응물 가스 유동 경로(화살표(612)로 도시됨)와 실질적으로 평행하게 배치되는 것이 바람직하다. 하부 가열 조립체는 유사한 세장형 튜브-타입 복사 가열 엘리먼트들(615)을 포함하며, 세장형 튜브-타입 복사 가열 엘리먼트들(615)은 반응 챔버(605) 아래에 포지셔닝되고, 상부 가열 엘리먼트들(610)에 대해 횡 방향으로 배향된다. 각각 상부 및 하부 램프들(610, 615) 위 및 아래에 있는 러프 스페큘러 반사기 플레이트(rough specular reflector plate)들(미도시)에 의해 복사 열의 일부가 챔버(605) 내로 확산 반사된다. 부가적으로, 반응 챔버(605)의 최하부를 통해 연장되는 저온 지지 구조들에 의해 생성되는 열 싱크 효과에 대응하기 위해, 복수의 스폿 램프들(620)이 기판 지지 구조(아래에서 설명됨)의 하면에 집중 열을 공급한다. 세장형 튜브 타입 가열 엘리먼트들(610, 615) 각각은, 상당한 흡수 없이 반응 챔버(605)의 벽들을 통해 전달되는 복사 열 에너지를 생성하는 고강도 텅스텐 필라멘트 램프인 것이 바람직하다. 반도체 프로세싱 장비의 기술 분야에 알려져 있는 바와 같이, 다양한 램프들(610, 615, 620)의 전력은, 온도 센서들에 대한 응답으로, 독립적으로 또는 그룹화된 구역들로 제어될 수 있다.
[0074] 실리콘 기판(625)을 포함하는 워크피스가 반응 챔버(605) 내에서 기판 지지 구조(630) 상에 지지된 것으로 도시된다. 예시된 지지 구조(630)는 기판(625)이 상부에 놓이는 기판 홀더(632), 및 지지 스파이더(support spider)(634)를 포함한다. 스파이더(634)는 샤프트(636)에 탑재되며, 샤프트(636)는 챔버 하부 벽을 통해 연장되는 튜브(638)를 통해 하방으로 연장된다. 튜브(638)는 기판의 프로세싱 동안 유동할 수 있는 퍼지 가스의 소스와 연통한다. 퍼지 가스는 프로세스 가스들이 챔버(605)의 하부 섹션에 진입하는 것을 억제하기 위해 활용될 수 있다. 퍼지 가스가 또한, 기판(625) 아래에서 수평으로 유동할 수 있다.
[0075] 복수의 온도 센서들이 기판(625) 근처에 포지셔닝된다. 온도 센서들은 광학 고온계들 또는 열전대들과 같은 다양한 형태들을 취할 수 있다. 예시된 실시예에서, 온도 센서들은 임의의 적합한 방식으로 기판 홀더(632) 아래에 서스펜딩(suspend)된 제1 또는 중앙 열전대(640)를 포함하는 열전대들을 포함한다. 중앙 열전대(640)는 기판 홀더(632) 근처에서 스파이더(634)를 통과한다. 반응기(600)는, 또한 기판(625) 근처에 있는 복수의 이차 또는 주변 열전대들을 더 포함하며, 그 복수의 이차 또는 주변 열전대들은 선행 에지 또는 전방 열전대(645), 후행 에지 또는 후방 열전대(650), 및 측부 열전대(미도시)를 포함한다. 주변 열전대들 각각은 슬립 링(652) 내에 하우징되며, 슬립 링(652)은 기판 홀더(632) 및 기판(625)을 둘러싼다. 중앙 및 주변 열전대들 각각은 온도 제어기에 연결되며, 그 온도 제어기는, 열전대들의 판독들에 대한 응답으로, 다양한 가열 엘리먼트들(610, 615, 620)의 전력을 세팅한다.
[0076] 주변 열전대들을 하우징하는 것에 부가하여, 슬립 링(652)은 고온 프로세싱 동안 복사 열을 흡수 및 방출한다. 슬립 링(652)은 기판 에지들에서의 더 큰 열 손실 또는 흡수를 보상하기 위해 활용될 수 있는데, 이 현상은 기판 에지들 근처의 구역들에서 표면적 대 부피의 비율이 더 큰 것으로 인해 발생하는 것으로 알려져 있다. 에지 손실들을 최소화함으로써, 슬립 링(652)은 기판(625)에 걸친 반경 방향 온도 불-균일성들의 리스크를 감소시킬 수 있다. 슬립 링(652)은 임의의 적합한 수단에 의해 서스펜딩될 수 있다. 예컨대, 예시된 슬립 링(652)은 지지 부재들(654) 상에 놓이며, 지지 부재들(654)은 전방 챔버 분할기(656) 및 후방 챔버 분할기(658)로부터 연장된다. 분할기들(656, 658)은 석영으로 형성되는 것이 바람직하다. 일부 어레인지먼트들에서, 후방 분할기(658)는 생략될 수 있다.
[0077] 예시된 반응 챔버(605)는 반응물 및 캐리어 가스들의 주입을 위한 유입구 포트(660)를 포함하며, 기판(625)이 또한, 유입구 포트(660)를 통해 수용될 수 있다. 유출구 포트(664)가 챔버(605)의 대향 측에 있으며, 기판 지지 구조(630)는 유입구(660)와 유출구(664) 사이에 포지셔닝된다.
[0078] 유입구 컴포넌트(665)가 반응 챔버(605)에 피팅되고, 유입구 포트(660)를 둘러싸도록 적응되고, 수평 세장형 슬롯(667)을 포함하며, 수평 세장형 슬롯(667)을 통해 기판(625)이 삽입될 수 있다. 대체로 수직인 유입구(668)가 가스 소스들로부터 가스들을 수용하고, 그리고 그러한 가스들을 슬롯(667) 및 유입구 포트(660)와 연통시킨다. 도 6에 별도로 예시되어 있지 않지만, 가스 소스들은, 수소, 실리콘, 및 게르마늄 전구체들, 그리고 Si 및/또는 Ge 증착 전에 냉각 단계 동안 챔버 내에 표면 활성 화합물을 유동시키는 것을 포함하는, 본원에서 설명되는 바와 같은 단계들의 시퀀스를 제어하는 제어기(예컨대, 사전-프로그램된 컴퓨터)를 포함할 수 있다. 유입구(668)는 단일-기판 반응기를 위해 가스 유동의 균일성을 최대화하도록 설계된 가스 주입기들을 포함할 수 있다.
[0079] 유출구 컴포넌트(670)는, 배기 개구(672)가 유출구 포트(664)와 정렬하여 배기 도관들(674)로 이어지도록, 프로세스 챔버(605)에 유사하게 탑재된다. 차례로, 도관들(674)은 챔버(605)로부터 프로세스 가스들을 배기시키기 위한 적합한 진공 수단(미도시)과 연통할 수 있다. 일 실시예에서, 프로세스 가스들은 반응 챔버(605) 및 하류 스크러버(downstream scrubber)(미도시)를 통해 흡인된다. 챔버(605)를 통해 프로세스 가스들을 흡인하는 것을 돕고, 감압 프로세싱, 즉, 아래에서 논의되는 바와 같은, 대기압 미만이지만 초-고 진공 압력 범위들은 초과하는 압력을 위해 챔버를 진공배기시키기 위한 펌프 또는 팬이 포함되는 것이 바람직하다.
[0080] 예시된 반응기(600)는 또한, 여기 종 소스(676)를 포함하며, 여기 종 소스(676)는 챔버(600)로부터 상류에 포지셔닝된다. 예시된 실시예의 여기 종 소스(676)는 가스 라인(678)을 따라 원격 플라즈마 생성기를 포함한다. 예시된 실시예에서, RPS 에너지 소스로부터의 마이크로파 에너지는 가스 라인(678)을 따르는 애플리케이터(applicator)에서 유동 가스에 커플링된다. 전구체 가스들의 소스(680)는 여기 종 소스(676) 내로의 도입을 위해 가스 라인(678)에 커플링된다. 캐리어 가스의 소스(682)가 또한 가스 라인(678)에 커플링된다. 하나 이상의 브랜치(branch) 라인들(684)이 또한, 부가적인 반응물들을 위해 제공될 수 있다. 본 기술 분야에 알려져 있는 바와 같이, 가스 소스들(680, 682)은, 반응물 종의 형태 및 휘발성에 따라, 가스 탱크들, 버블러들 등을 포함할 수 있다. 소스(676)에 도입된 후에 반응 챔버(605) 내로 도입되는 캐리어 및 반응물 종의 상대적인 양들의 선택을 가능하게 하기 위해, 도시된 바와 같이, 별개의 질량 유량 제어기(MFC) 및 밸브들이 각각의 가스 라인에 제공될 수 있다. 여기 종 소스(676)는 플라즈마 강화 증착을 위해 이용될 수 있을 뿐만 아니라, 챔버(605)에 기판이 없을 때, 챔버(605)로부터 과도한 증착물을 세정하기 위해 에천트들을 여기시키는 데 활용될 수 있다.
[0081] 200 mm 기판들을 프로세싱하도록 설계된 단일-기판 프로세스 챔버(605)의 총 볼륨 용량은, 예컨대, 약 30 리터 미만, 이를테면 약 20 리터 미만, 그리고 일 실시예에서는 약 10 리터 미만이다. 예시된 챔버(605)는 약 7.5 리터의 용량을 갖는다. 분할기들(656, 658), 기판 홀더(632), 링(652), 및 튜브(638)로부터 유동하는 퍼지 가스에 의해, 예시된 챔버(605)가 파티셔닝되기 때문에, 프로세스 가스들이 유동하는 유효 볼륨은 총 볼륨의 대략 절반(예컨대, 예시된 실시예에서는 약 3.77 리터)이다. 단일-기판 프로세스 챔버(605)의 볼륨은 챔버(605)가 수용하도록 설계된 기판들의 사이즈에 따라 상이할 수 있다는 것이 이해된다. 예컨대, 300 mm 기판들을 위한 단일-기판 프로세싱 챔버(605)는 약 100 리터 미만, 이를테면 약 60 리터, 그리고 일 실시예에서는 약 30 리터 미만이다. 일 예에서, 300 mm 기판을 프로세싱하기 위한 단일-기판 프로세싱 챔버(605)는 약 24 리터의 총 볼륨과 함께 약 12 리터의 유효 볼륨을 갖는다.
[0082] Ge-함유 층을 위한 증착 온도들은 전형적으로, 섭씨(C) 약 250도 내지 섭씨 약 600도, 예컨대 섭씨 약 300도 내지 섭씨 약 450도의 범위이다. 예컨대, 전구체의 열 안정성이 감소됨에 따라, 더 낮은 증착 온도들이 더 적절한 경향이 있다. 단일-기판 프로세싱 챔버(605) 내의 총 압력은 약 10-5 Torr 내지 약 800 Torr의 범위이다. 일부 실시예들에서, 압력은 약 200 mTorr 내지 약 760 Torr, 이를테면 약 1 Torr 내지 약 200 Torr, 예컨대 약 1 Torr 내지 약 60 Torr이다.
[0083] 도 7은 일 실시예에 따른, 저압 에피택셜 증착을 위해 구성된 배면 가열 프로세스 챔버(700)의 개략적인 단면도를 예시한다. 프로세스 챔버(700)는, 기판(625)의 상부 표면 상에 재료를 증착하는 것을 포함하여, 하나 이상의 기판들을 프로세싱하는 데 사용될 수 있다. 프로세스 챔버(700)는, 다른 컴포넌트들 중에서, 프로세스 챔버(700) 내에 배치된 기판 지지부(706)의 배면(704)을 가열하기 위한 복사 가열 램프들(702)의 어레이를 포함할 수 있다. 기판 지지부(706)는 도시된 바와 같은 디스크-형 기판 지지부(706)일 수 있거나, 또는 램프들(702)의 열 복사에 대한 기판의 노출을 가능하게 하기 위해 기판의 에지로부터 기판을 지지하는 링-형 기판 지지부(중앙 개구를 가짐)일 수 있다.
[0084] 기판 지지부(706)는 상부 돔(728)과 하부 돔(714) 사이에서 프로세스 챔버(700) 내에 위치된다. 상부 돔(728), 하부 돔(714), 및 상부 돔(728)과 하부 돔(714) 사이에 배치된 베이스 링(736)은 일반적으로, 프로세스 챔버(700)의 내부 구역을 정의한다. 기판(625)(실척대로 도시되지 않음)은 본 도면에 도시되지 않은 로딩 포트(103)를 통해, 프로세스 챔버(700) 내로 이송되고, 기판 지지부(706) 상에 포지셔닝된다.
[0085] 기판 지지부(706)는 중앙 샤프트(732)에 의해 지지되며, 중앙 샤프트(732)는, 기판(625)의 로딩 및 언로딩, 그리고 일부 경우들에서는 프로세싱 동안, 기판(625)을 수직 방향(734)으로 이동시킨다. 기판 지지부(706)는 도 7에서 상승 프로세싱 포지션에 있는 것으로 도시되지만, 중앙 샤프트(732)에 커플링된 액추에이터(미도시)에 의해, 프로세싱 포지션 아래의 로딩 포지션으로 수직으로 이송될 수 있다. 프로세싱 포지션 아래로 하강될 때, 리프트 핀들(705)이 기판(625)과 접촉하고, 기판 지지부(706)로부터 기판(625)을 상승시킨다. 이어서, 로봇(미도시)이 프로세스 챔버(700)에 진입하여, 기판(625)과 맞물리고 로딩 포트를 통해 프로세스 챔버(700)로부터 기판(625)을 제거할 수 있다. 이어서, 기판 지지부(706)는, 기판(625)의 디바이스 측(716)을 위로 향하게 하여 기판(625)을 기판 지지부(706)의 전면(710) 상에 배치하기 위해, 프로세싱 포지션으로 수직으로 작동될 수 있다.
[0086] 기판 지지부(706)는, 프로세싱 포지션에 위치되어 있는 동안, 프로세스 챔버(700)의 내부 볼륨을 기판(625) 위에 있는 프로세스 가스 구역(756), 및 기판 지지부(706) 아래에 있는 퍼지 가스 구역(758)으로 분할한다. 기판 지지부(706)는, 프로세스 챔버(700) 내의 열적 및 프로세스 가스 유동 공간적 이상들의 영향을 최소화함으로써 기판(625)의 균일한 프로세싱을 가능하게 하기 위해, 프로세싱 동안 중앙 샤프트(732)에 의해 회전된다. 기판 지지부(706)는, 램프들(702)로부터의 복사 에너지를 흡수하여 복사 에너지를 기판(625)에 전도하기 위해, 실리콘 탄화물, 또는 실리콘 탄화물로 코팅된 흑연으로 형성될 수 있다.
[0087] 일반적으로, 상부 돔(728)의 중앙 윈도우 부분 및 하부 돔(714)의 최하부는 광학적 투명 재료, 이를테면 석영으로 형성된다. 상부 돔(728)의 두께 및 곡률도(degree of curvature)는 프로세스 챔버에 균일한 유동 균일성을 위한 더 평탄한 기하형상을 제공하도록 구성될 수 있다.
[0088] 램프들(702)의 어레이는 중앙 샤프트(732) 주위에서 특정된 최적의 바람직한 방식으로 하부 돔(714) 근방 및 아래에 배치되어, 프로세스 가스가 위로 통과할 때 기판(625)의 다양한 구역들의 온도를 독립적으로 제어할 수 있으며, 이는 기판(625)의 상부 표면 상으로의 재료의 증착을 가능하게 한다. 본원에서 상세히 논의되지 않지만, 증착되는 재료는 갈륨 비소, 갈륨 질화물, 또는 알루미늄 갈륨 질화물을 포함할 수 있다. 일부 실시예들에서, 복사 가열 램프들, 이를테면 램프들(702)의 어레이는 상부 돔(728) 위에 배치될 수 있다.
[0089] 램프들(702)은 기판(625)을 섭씨 약 200도 내지 섭씨 약 1600도의 범위 내의 온도까지 가열하도록 구성된 벌브들을 포함하도록 구성될 수 있다. 각각의 램프(702)는 전력 분배 보드(미도시)에 커플링되며, 그 전력 분배 보드를 통해 각각의 램프(702)에 전력이 공급된다. 램프들(702)은 램프헤드(745) 내에 포지셔닝되며, 램프헤드(745)는, 예컨대, 램드플(702) 사이에 위치된 채널들(749) 내로 도입되는 냉각 유체에 의해, 프로세싱 동안 또는 프로세싱 후에 냉각될 수 있다. 램프헤드(745)는 하부 돔(714)을 전도 및 복사로 냉각시키는데, 이는 부분적으로, 램프헤드(745)가 하부 돔(714)에 매우 근접해 있기 때문이다. 램프헤드(745)는 또한, 램프 벽들, 및 램프들 주의의 반사기들(미도시)의 벽들을 냉각시킬 수 있다. 대안적으로, 하부 돔(714)은 대류 접근법에 의해 냉각될 수 있다. 애플리케이션에 따라, 램프헤드들(745)은 하부 돔(714)과 접촉할 수 있거나 또는 하부 돔(714)과 접촉하지 않을 수 있다.
[0090] 선택적으로, 원형 차폐부(767)가 기판 지지부(706) 주위에 배치될 수 있고, 그리고 라이너 조립체(763)에 의해 둘러싸인다. 차폐부(767)는 램프들(702)로부터 기판(625)의 디바이스 측(716)으로의 열/광 노이즈의 누설을 방지 또는 최소화하는 한편, 프로세스 가스들을 위한 예열 구역을 제공한다. 차폐부(767)는, CVD SiC, SiC로 코팅된 소결 흑연, 성장된 SiC, 불투명 석영, 코팅된 석영, 또는 프로세스 및 퍼징 가스들에 의한 화학적 분해에 대해 내성을 갖는 임의의 유사한 적합한 재료로 제조될 수 있다.
[0091] 라이너 조립체(763)는 베이스 링(736)의 내측 원주 내에 네스팅(nest)되거나 또는 베이스 링(736)의 내측 원주에 의해 둘러싸이도록 사이즈가 설정된다. 라이너 조립체(763)는 프로세스 챔버(700)의 금속성 벽들로부터 프로세싱 볼륨(즉, 프로세스 가스 구역(756) 및 퍼지 가스 구역(758))을 차폐한다. 금속성 벽들은 전구체들과 반응하여 프로세싱 볼륨에 오염을 야기할 수 있다. 라이너 조립체(763)가 단일 바디로서 도시되어 있지만, 라이너 조립체(763)는 상이한 구성들을 갖는 하나 이상의 라이너들을 포함할 수 있다.
[0092] 기판 지지부(706)로부터의 기판(625)의 배면 가열의 결과로서, 기판 지지부 상의 온도 측정들/제어를 위한 광학 고온계(718)의 사용이 수행될 수 있다. 이러한 방식으로 기판 전면(710)을 가열하는 것은 방사율 독립적이기 때문에, 광학 고온계(718)에 의한 이러한 온도 측정은 또한, 미지의 방사율을 갖는 기판(625)의 디바이스 측(716) 상에서 행해질 수 있다. 결과로서, 광학 고온계(718)는, 램프들(702)로부터의 최소 배경 복사가 광학 고온계(718)에 직접 도달하게 되는 상태로, 기판 지지부(706)로부터 열을 전도하는 고온(hot) 기판(625)으로부터의 복사만을 감지할 수 있다.
[0093] 기판(625)으로부터 복사하는 광을 다시 기판(625) 상으로 반사시키기 위해, 반사기(722)가 상부 돔(728) 외부에 선택적으로 배치될 수 있다. 반사기(722)는 클램프 링(730)을 사용하여 상부 돔(728)에 고정될 수 있다. 반사기(722)는 금속, 이를테면 알루미늄 또는 스테인리스 강으로 제조될 수 있다. 고 반사 코팅, 이를테면 금으로 반사기 영역을 코팅함으로써, 반사의 효율이 개선될 수 있다. 반사기(722)는 냉각 소스(미도시)에 연결된 하나 이상의 채널들(726)을 가질 수 있다. 채널들(726)은 반사기(722)를 냉각시키기 위해 반사기(722)의 측면 상에 형성된 통로(미도시)에 연결된다. 통로는 유체, 이를테면 물의 유동을 운반하도록 구성되고, 그리고 반사기(722)의 일부 또는 전체 표면을 덮는 임의의 원하는 패턴으로 반사기(722)의 측면을 따라 수평으로 이어질 수 있다.
[0094] 프로세스 가스 공급 소스(772)로부터 공급되는 프로세스 가스는 베이스 링(736)의 측벽에 형성된 프로세스 가스 유입구(774)를 통해 프로세스 가스 구역(756) 내로 도입된다. 프로세스 가스 유입구(774)는 대체로 반경 방향 내측 방향으로 프로세스 가스를 지향시키도록 구성된다. 막 형성 프로세스 동안, 기판 지지부(706)는 프로세싱 포지션에 위치될 수 있으며, 그 프로세싱 포지션은 프로세스 가스 유입구(774)에 인접하고, 프로세스 가스 유입구(774)와 대략 동일한 높이에 있으며, 그에 따라, 프로세스 가스는 층류로 기판(625)의 상부 표면에 걸쳐 유동 경로(773)를 따라 위로 그리고 주위로 유동할 수 있게 된다. 프로세스 가스는 프로세스 가스 유입구(774) 반대편에서 프로세스 챔버(700)의 측면 상에 위치된 가스 유출구(778)를 통해 (유동 경로(775)를 따라) 프로세스 가스 구역(756)에서 빠져나간다. 가스 유출구(778)를 통한 프로세스 가스의 제거는 가스 유출구(778)에 커플링된 진공 펌프(780)에 의해 가능하게 될 수 있다. 프로세스 가스 유입구(774)와 가스 유출구(778)가 서로 정렬되고 대략 동일한 높이에 배치되기 때문에, 그러한 평행 어레인지먼트는, 더 평탄한 상부 돔(728)과 조합될 때, 기판(625)에 걸쳐 대체로 평탄하고 균일한 가스 유동을 가능하게 하는 것으로 여겨진다. 기판 지지부(706)를 통한 기판(625)의 회전에 의해, 추가적인 반경 방향 균일성이 제공될 수 있다.
[0095] 베이스 링(736)의 측벽에 형성된 선택적인 퍼지 가스 유입구(764)를 통해(또는 프로세스 가스 유입구(774)를 통해) 퍼지 가스 소스(765)로부터 퍼지 가스 구역(758)으로 퍼지 가스가 공급될 수 있다. 퍼지 가스 유입구(764)는 프로세스 가스 유입구(774) 아래의 높이에 배치된다. 원형 차폐부(767) 또는 예열 링(미도시)이 사용되는 경우, 원형 차폐부 또는 예열 링은 프로세스 가스 유입구(774)와 퍼지 가스 유입구(764) 사이에 배치될 수 있다. 어느 경우에도, 퍼지 가스 유입구(764)는 대체로 반경 방향 내측 방향으로 퍼지 가스를 지향시키도록 구성된다. 막 형성 프로세스 동안, 기판 지지부(706)는 퍼지 가스가 층류로 기판 지지부(706)의 배면(704)에 걸쳐 유동 경로(765)를 따라 아래로 그리고 주위로 유동하도록 하는 포지션에 위치될 수 있다. 임의의 특정 이론에 의해 구속되는 것은 아니지만, 퍼지 가스의 유동은 프로세스 가스의 유동이 퍼지 가스 구역(758) 내에 진입하는 것을 방지하거나 또는 실질적으로 막거나, 또는 퍼지 가스 구역(758)(즉, 기판 지지부(706) 아래의 구역)에 진입하는 프로세스 가스의 확산을 감소시키는 것으로 여겨진다. 퍼지 가스는 퍼지 가스 유입구(764) 반대편에서 프로세스 챔버(700)의 측면 상에 위치된 가스 유출구(778)를 통해 (유동 경로(766)를 따라) 퍼지 가스 구역(758)에서 빠져나가고, 프로세스 챔버 밖으로 배기된다.
[0096] 도 8은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 CENTURA® 통합 프로세싱 시스템의 일부일 수 있는 CVD 또는 에피택셜 증착 챔버(800)의 개략적인 단면도이다. 증착 챔버(800)는 프로세스 내성 재료, 이를테면 알루미늄 또는 스테인리스 강, 예컨대 316 L 스테인리스 강으로 제조된 하우징 구조(801)를 포함한다. 하우징 구조(801)는 프로세스 챔버(800)의 다양한 기능 엘리먼트들, 이를테면 석영 챔버(830)를 밀폐하며, 석영 챔버(830)는 상부 챔버(805) 및 하부 챔버(824)를 포함하고, 석영 챔버(830)에 프로세싱 볼륨(818)이 포함된다. 가스 분배 조립체(850)에 의해 반응성 종이 석영 챔버(830)에 제공되며, 프로세싱 부산물들은, 전형적으로 진공 소스(미도시)와 연통하는 유출구(838)에 의해, 프로세싱 볼륨(818)으로부터 제거된다.
[0097] 기판 지지부(817)는 프로세싱 볼륨(818)으로 이송된 기판(625)을 수용하도록 적응된다. 기판 지지부(817)는 증착 챔버(800)의 종축(802)을 따라 배치된다. 기판 지지부는 실리콘 재료, 이를테면 실리콘 탄화물로 코팅된 흑연 재료 또는 세라믹 재료, 또는 다른 프로세스 내성 재료로 제조될 수 있다. 전구체 반응물 재료들로부터의 반응성 종이 기판(625)의 표면(816)에 적용되며, 이어서, 표면(816)으로부터 부산물들이 제거될 수 있다. 기판(625) 및/또는 프로세싱 볼륨(818)의 가열은 복사 소스들, 이를테면 상부 램프 모듈들(810A) 및 하부 램프 모듈들(810B)에 의해 제공될 수 있다.
[0098] 일 실시예에서, 상부 램프 모듈들(810A) 및 하부 램프 모듈들(810B)은 적외선(IR) 램프들이다. 램프 모듈들(810A 및 810B)로부터의 비-열 에너지 또는 복사는 상부 석영 챔버(805)의 상부 석영 윈도우(804)를 통해, 그리고 하부 석영 챔버(824)의 하부 석영 부분(803)을 통해 이동한다. 필요한 경우, 상부 석영 챔버(805)를 위한 냉각 가스들은 유입구(812)를 통해 진입하고, 유출구(813)를 통해 빠져나간다. 챔버(800)를 위한 희석, 퍼지, 및 벤트(vent) 가스들 뿐만 아니라 전구체 반응물 재료들이 가스 분배 조립체(850)를 통해 진입하고, 유출구(838)를 통해 빠져나간다. 상부 석영 윈도우(804)가 만곡되거나 또는 볼록한 것으로 도시되어 있지만, 상부 석영 윈도우(804)는, 상부 석영 윈도우(804)의 양 측들의 압력이 실질적으로 동일한 한(즉, 대기압), 평면일 수 있거나 또는 오목할 수 있다.
[0099] 반응성 종을 에너자이징하고, 반응물들의 흡착 및 기판(625)의 표면(816)으로부터의 프로세스 부산물들의 탈착을 보조하는 데 사용되는, 프로세싱 볼륨(818) 내의 낮은 파장 복사는 전형적으로, 약 0.8 μm 내지 약 1.2 μm, 예컨대 약 0.95 μm 내지 약 1.05 μm의 범위이며, 예컨대, 에피택셜 성장되는 막의 조성에 따라, 다양한 파장들의 조합들이 제공된다.
[00100] 성분 가스들은 가스 분배 조립체(850)를 통해 프로세싱 볼륨(818)에 진입한다. 일반적으로 822로 도시된 바와 같이, 가스는 가스 분배 조립체(850)로부터 유동하여 포트(838)를 통해 빠져나간다. 기판 표면을 세정/패시베이팅하거나, 또는 에피택셜 성장되는 실리콘 및/또는 게르마늄-함유 막을 형성하기 위해 사용되는 성분 가스들의 조합들은 전형적으로, 프로세싱 볼륨 내로의 진입 전에 혼합된다. 프로세싱 볼륨(818) 내의 전체 압력은 유출구 포트(838) 상의 밸브(미도시)에 의해 조정될 수 있다. 프로세싱 볼륨(818)의 내부 표면의 적어도 일부는 라이너(831)에 의해 덮인다. 일 실시예에서, 라이너(831)는 불투명한 석영 재료를 포함한다. 이러한 방식으로, 챔버 벽은 프로세싱 볼륨(818) 내의 열로부터 단열된다.
[00101] 프로세싱 볼륨(818) 내의 표면들의 온도는, 상부 석영 윈도우(804) 위에 포지셔닝된 상부 램프 모듈들(810A)로부터의 복사와 조합하여, 냉각 가스의 유동(이는 포트(812)를 통해 진입하여 포트(813)를 통해 빠져나감)에 의해, 약 200 ℃ 내지 약 600 ℃ 이상의 온도 범위 내에서 제어될 수 있다. 하부 석영 챔버(824) 내의 온도는, 블로어 유닛(blower unit)(이는 도시되지 않음)의 속도를 조정하는 것에 의해, 그리고 하부 석영 챔버(824) 아래에 배치된 하부 램프 모듈들(810B)로부터의 복사에 의해, 약 200 ℃ 내지 약 600 ℃ 이상의 온도 범위 내에서 제어될 수 있다. 프로세싱 볼륨(818) 내의 압력은 약 0.1 Torr 내지 약 600 Torr, 이를테면 약 5 Torr 내지 약 30 Torr일 수 있다.
[00102] 기판(625)의 표면(816) 상의 온도는, 하부 석영 챔버(824)에서의 하부 램프 모듈들(810B)에 대한 전력 조정에 의해, 또는 상부 석영 챔버(804) 위에 놓인 상부 램프 모듈들(810A)과, 하부 석영 챔버(824)에서의 하부 램프 모듈들(810B) 둘 모두에 대한 전력 조정에 의해 제어될 수 있다. 프로세싱 볼륨(818) 내의 전력 밀도는 약 40 W/cm2 내지 약 400 W/cm2, 이를테면 약 80 W/cm2 내지 약 120 W/cm2일 수 있다.
[00103] 일 양상에서, 가스 분배 조립체(850)는 기판(625) 또는 챔버(800)의 종축(802)에 수직으로 배치되거나, 또는 기판(625) 또는 챔버(800)의 종축(802)에 대하여 반경 방향(806)으로 배치된다. 이러한 배향에서, 가스 분배 조립체(850)는 기판(625)의 표면(816)에 평행하게 또는 기판(625)의 표면(816)에 걸쳐 반경 방향(806)으로 프로세스 가스들을 유동시키도록 적응된다. 하나의 프로세싱 애플리케이션에서, 프로세스 가스들은, 프로세싱 볼륨(818)으로의 도입 전에 가스들의 예열을 개시하고 그리고/또는 가스들 내의 특정 결합들을 파괴시키기 위해, 챔버(800)로의 도입 포인트에서 예열된다. 이러한 방식으로, 표면 반응 동역학은 기판(625)의 열 온도와 독립적으로 변경될 수 있다.
[00104] 동작 시, Si 및 SiGe 블랭킷 또는 선택적 막들을 형성하기 위한 전구체들이 하나 이상의 가스 소스들(840A 및 840B)로부터 가스 분배 조립체(850)로 제공된다. IR 램프들(856)(도 8에서는 하나만 도시됨)은 가스 분배 조립체(850) 내의 전구체들 뿐만 아니라 유동 경로(822)를 따르는 전구체들을 가열하기 위해 활용될 수 있다. 가스 소스들(840A, 840B)은, 가스 분배 조립체(850) 내에 도입 구역들, 이를테면, 평면도에서 볼 때, 반경 방향 외측 구역, 및 외측 구역들 사이의 반경 방향 내측 구역을 가능하게 하도록 구성된 방식으로, 가스 분배 조립체(850)에 커플링될 수 있다. 가스 소스들(840A, 840B)은 구역들 내로의 도입의 레이트를 제어하기 위해 밸브들(미도시)을 포함할 수 있다.
[00105] 가스 소스들(840A, 840B)은, 실란(SiH4), 디실란(Si2H6), 디클로로실란(SiH2Cl2), 헥사클로로디실란(Si2Cl6), 디브로모실란(SiH2Br2), 고차 실란들, 이들의 유도체들, 및 이들의 조합들을 포함하는 실란들과 같은 실리콘 전구체들을 포함할 수 있다. 가스 소스들(840A, 840B)은 또한, 게르마늄 함유 전구체들, 이를테면, 게르만(GeH4), 디게르만(Ge2H6), 게르마늄 테트라클로라이드(GeCl4), 디클로로게르만(GeH2Cl2), 이들의 유도체들, 및 이들의 조합들을 포함할 수 있다. 실리콘 및/또는 게르마늄 함유 전구체들이 수소 클로라이드(HCl), 염소 가스(Cl2), 수소 브로마이드(HBr), 및 이들의 조합들과 조합하여 사용될 수 있다. 가스 소스들(840A, 840B)은 가스 소스들(840A, 840B) 중 하나 또는 둘 모두에서 실리콘 및 게르마늄 함유 전구체들 중 하나 이상을 포함할 수 있다.
[00106] 전구체 재료들은 그러한 여기 상태로 천공 플레이트(854) 내의 개구들 또는 복수의 홀들(858)(도 8에서는 하나만 도시됨)을 통해 프로세싱 볼륨(818)에 진입하며, 일 실시예에서, 천공 플레이트(854)는 홀들(858)이 관통하여 형성되어 있는 석영 재료이다. 천공 플레이트(854)는 IR 에너지에 대해 투명하고, 그리고 클리어(clear) 석영 재료로 제조될 수 있다. 다른 실시예들에서, 천공 플레이트(854)는, IR 에너지에 대해 투명하고 프로세스 케미스트리 및 다른 프로세스 케미스트리들에 대해 내성이 있는 임의의 재료일 수 있다. 에너자이징된 전구체 재료들은 천공 플레이트(854) 내의 복수의 홀들(858)을 통해, 그리고 복수의 채널들(852)(도 8에서는 하나만 도시됨)을 통해 프로세싱 볼륨(818) 쪽으로 유동한다. IR 램프들(856)로부터의 비-열 에너지 및 광자들의 일부가 또한, 홀들(858), 천공 플레이트(854), 및 채널들(852)을 통과함으로써(이는 가스 분배 조립체(850)의 내부 표면들 상에 배치된 반사성 재료 및/또는 표면에 의해 가능하게 됨), 전구체 재료들의 유동 경로(도 8에서 화살표(822)로서 도시됨)를 조명한다. 이러한 방식으로, 전구체 재료들의 진동 에너지는 도입 포인트로부터 유동 경로를 따라 프로세싱 볼륨(818)까지 유지될 수 있다.
[00107] 도 9는 본 개시내용의 구현들에 따른, 도 1에 예시된 프로세싱 시퀀스(100)를 완료하기 위해 사용될 수 있는 예시적인 진공 프로세싱 시스템(900)을 예시한다. 도 9에 도시된 바와 같이, 복수의 프로세싱 챔버들(902a, 902b, 902c, 902d)이 제1 이송 챔버(904)에 커플링된다. 프로세싱 챔버들(902a-902d)은 임의의 기판 관련 프로세스들, 이를테면, 어닐링, 화학 기상 증착, 물리 기상 증착, 에피택셜 프로세스, 에칭 프로세스, 열 산화 또는 열 질화 프로세스, 탈기 등을 수행하기 위해 사용될 수 있다. 일 구현에서, 프로세싱 챔버(902a)는 결정질 실리콘 또는 실리콘 게르마늄을 형성할 수 있는 막 형성 챔버, 이를테면 기상 에피택시 증착 챔버, 예컨대 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 Epi 챔버일 수 있다. 다른 구현에서, 프로세싱 챔버(902a)는 에피택시 증착 챔버, 이를테면 도 6에 관하여 설명된 단일-기판 프로세싱 챔버(600)일 수 있다. 다른 구현에서, 프로세싱 챔버(902a)는 도 7에 관하여 설명된 프로세스 챔버(700)일 수 있다. 다른 구현에서, 프로세싱 챔버(902a)는 도 8에 관하여 설명된 프로세스 챔버(800)일 수 있다.
[00108] 프로세싱 챔버(902b)는 급속 열 프로세싱 챔버(RTP)일 수 있다. 프로세싱 챔버(902c)는 플라즈마 에칭 챔버 또는 플라즈마 세정 챔버이다. 예컨대, 프로세싱 챔버(902c)는 도 2a에 관하여 설명된 프로세싱 챔버(200), 또는 도 3에 관하여 설명된 프로세싱 챔버(300)일 수 있다. 프로세싱 챔버(902d)는 탈기 챔버일 수 있다. 제1 이송 챔버(904)는 또한, 적어도 하나의 트랜지션(transition) 스테이션, 예컨대 한 쌍의 패스-스루 스테이션들(906, 908)에 커플링된다. 패스-스루 스테이션들(906, 908)은 진공 조건들을 유지하는 한편, 기판들이 제1 이송 챔버(904)와 제2 이송 챔버(910) 사이에서 이송될 수 있게 한다. 제1 이송 챔버(904)는 패스-스루 스테이션들(906, 908)과 프로세싱 챔버들(902a-902d) 중 임의의 프로세싱 챔버 사이에서 기판들을 이송하기 위한 로봇식 기판 핸들링 메커니즘(미도시)을 갖는다. 프로세싱 챔버들(902a-902d)이 도 9에서 특정 순서로 구성된 것으로 도시되어 있지만, 프로세싱 챔버들(902a-902d)은 임의의 원하는 순서로 구성될 수 있다.
[00109] 패스-스루 스테이션들(906, 908)의 하나의 단부는 제2 이송 챔버(910)에 커플링된다. 따라서, 제1 이송 챔버(904)와 제2 이송 챔버(910)는 패스-스루 스테이션들(906, 908)에 의해 분리 및 연결된다. 제2 이송 챔버(910)는 제1 플라즈마-세정 챔버(914)에 커플링되며, 제1 플라즈마-세정 챔버(914)는 기판의 표면으로부터 산화물들을 제거하기 위해 박스(902)에서 발견되는 프로세스들 중 적어도 일부를 수행하도록 적응된 프로세싱 챔버(200)(도 2a)와 같은 플라즈마 챔버일 수 있다. 일 구현에서, 제1 플라즈마-세정 챔버(914)는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 SiconiTM 또는 SelectraTM 챔버이다. 다른 구현에서, 플라즈마 세정 챔버(914)는 도 2a에 관하여 설명된 프로세싱 챔버(200)일 수 있다. 다른 구현에서, 플라즈마 세정 챔버(914)는 도 3에 관하여 설명된 프로세싱 챔버(300)일 수 있다. 또 다른 구현에서, 2개의 프로세싱 챔버들(200)이 플라즈마 세정 챔버(914) 포지션들에 포지셔닝되고, 2개의 프로세스 챔버들(300)이 스테이션들(906 및 908)에 포지셔닝되며, 4개의 epi 챔버들이 프로세싱 챔버들(902a-902d)에 포지셔닝된다.
[00110] 일 구현에서, 적어도 하나의 트랜지션 스테이션, 예컨대, 패스-스루 스테이션들(906, 908) 중 하나는 플라즈마-세정 챔버이도록 구성된다. 대안적으로, 기판의 표면으로부터 오염물들을 제거하기 위해, 플라즈마-세정 챔버가 패스-스루 스테이션들(906, 908) 중 하나에 커플링될 수 있다. 따라서, 프로세싱 시스템(900)은 제2 플라즈마-세정 챔버를 가질 수 있으며, 그 제2 플라즈마-세정 챔버는 패스-스루 스테이션들(906, 908) 중 하나이거나, 또는 패스-스루 스테이션들(906, 908) 중 하나에 연결된다. 도 9에 도시된 일 구현에서, 패스-스루 스테이션(906)은 제2 플라즈마-세정 챔버(916)를 포함한다. 제2 플라즈마-세정 챔버(916)는 기판의 표면으로부터 오염물들을 제거하기 위해 박스(104)에서 발견되는 프로세스들 중 적어도 일부를 수행하도록 적응된 프로세싱 챔버(300)(도 3)의 버전일 수 있다. 하나의 플라즈마-세정 챔버(916)만이 패스-스루 스테이션, 이 경우에서는 패스-스루 스테이션(906)에 커플링된 것으로 도시되어 있지만, 플라즈마-세정 챔버(예컨대, 프로세싱 챔버(300)의 버전)가 패스-스루 스테이션들(906 및 908) 둘 모두 내에 포지셔닝될 수 있다는 것이 유의되어야 한다.
[00111] 제2 이송 챔버(910)는 또한, 한 세트의 로드 락 챔버(912)와, 제1 플라즈마-세정 챔버(914) 또는 제2 플라즈마-세정 챔버(916), 또는 후방 이송 챔버들로의 패스 스루(906/908) 사이에서 기판들을 이송하기 위한 로봇식 기판 핸들링 메커니즘(미도시)을 갖는다. 팩토리 인터페이스(920)가 로드 락 챔버들(912)에 의해 제2 이송 챔버(910)에 연결된다. 팩토리 인터페이스(920)는 로드 락 챔버들(912)의 반대편에서 하나 이상의 포드들(930)에 커플링된다. 포드들(930)은 전형적으로, 클린 룸(미도시)으로부터 접근가능한 FOUP(front opening unified pod)들이다.
[00112] 2개의 이송 챔버들이 도시되어 있지만, 이송 챔버들 중 임의의 이송 챔버가 생략될 수 있다는 것이 고려된다. 제1 이송 챔버(904)가 생략된 일 구현에서, 제2 플라즈마-세정 챔버(916)는 패스-스루 스테이션들(906 또는 908)에 의해 점유된 것으로 현재 도시된 위치에서 제2 이송 챔버(910)에 커플링될 수 있거나 또는 제2 이송 챔버(910) 내에 배치될 수 있다. 제2 이송 챔버(910)는 결정질 실리콘 또는 실리콘 게르마늄을 형성할 수 있는 하나 이상의 프로세싱 챔버들, 이를테면 에피택시 챔버, 예컨대 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 CenturaTM Epi 챔버에 커플링될 수 있다.
[00113] 동작 시, 기판들은 포드들(930)로부터 로드 락 챔버들(912) 중 하나 내에 위치된 운송 카세트(미도시)에서 진공 프로세싱 시스템(900)으로 운반된다. 제2 이송 챔버(910) 내의 로봇식 운송 메커니즘은 기판들을 한 번에 하나씩 로드 락 챔버들(912)로부터 제1 플라즈마-세정 챔버(914)로 운송하며, 제1 플라즈마-세정 챔버(914)에서, 기판의 표면으로부터 산화물들을 제거하기 위해, 세정 프로세스, 예컨대 박스(102)에서 발견되는 프로세스들이 수행된다. 기판 표면으로부터 산화물들이 제거되었으면, 제2 이송 챔버(910) 내에 배치된 로봇식 운송 메커니즘은 기판을 제1 플라즈마-세정 챔버(914)로부터 제2 플라즈마-세정 챔버(916)로 이송하며, 제2 플라즈마-세정 챔버(916)에서, 기판 표면으로부터 오염물들, 이를테면 탄소 또는 탄화수소들을 제거하기 위해, 환원 프로세스, 예컨대 박스(104)에서 발견되는 프로세스들이 수행된다. 본원의 단계들이 또한, 역순으로, 즉, 로봇식 운송 메커니즘을 사용하여 기판을 제2 플라즈마-세정 챔버(916)로부터 제1 플라즈마-세정 챔버(914)로 이송하여 수행될 수 있다는 것이 고려된다. 어느 경우에도, 이어서, 세정된 기판들은, 제1 이송 챔버(904) 내에 배치된 로봇식 운송 메커니즘에 의해, 제2 플라즈마-세정 챔버(916)(또는 제1 플라즈마-세정 챔버(914))로부터 하나 이상의 프로세싱 챔버들(902a-902d)로 이송된다. 하나 이상의 프로세싱 챔버들(902a-902d)은 에피택시 프로세스 챔버를 포함할 수 있으며, 그 에피택시 프로세스 챔버에서, 층 형성 프로세스, 이를테면 박스(106)에서 설명된 에피택셜 증착이 수행된다.
[00114] 하나 이상의 프로세싱 챔버들(902a-902d) 내의 프로세싱의 완료 시, 제1 이송 챔버(904) 내에 배치된 로봇식 운송 메커니즘은 기판을 프로세싱 챔버들(902) 중 어느 하나로부터 패스-스루 스테이션(908)으로 이동시킨다. 이어서, 제2 이송 챔버(910) 내에 배치된 로봇식 운송 메커니즘에 의해 기판이 패스-스루 스테이션(908)으로부터 제거되고, 다른 로드 락 챔버(912)로 이송되며, 그 다른 로드 락 챔버(912)를 통해 진공 프로세싱 시스템(900)으로부터 기판이 인출된다.
[00115] 동일한 진공 프로세싱 시스템(900) 내에서 모든 3개의 박스들(102, 104, 및 106)의 프로세스들이 수행되기 때문에, 다양한 챔버들 사이에서 기판이 이송될 때 진공이 파괴되지 않으며, 이는 오염 가능성을 감소시키고, 증착되는 에피택셜 막의 품질을 개선한다. 기판들의 이동이 예시 목적들을 위해 본원에서 설명된 것임이 이해되어야 한다. 애플리케이션에 따라 변화될 수 있는 원하는 시퀀싱 프로그램에 따라, 진공 프로세싱 시스템(900)을 통한 기판들의 이동을 스케줄링하기 위해, 제어기(미도시)가 사용될 수 있다.
[00116] 본 개시내용의 이익들은 동일한 진공 프로세싱 시스템 상에 에피택셜 프로세스 챔버와 2개의 상이한 타입들의 사전-세정 프로세스 챔버들을 통합하는 개선된 진공 프로세싱 시스템을 포함한다. 사전-세정 프로세스 챔버들은 제1 플라즈마-세정 프로세스 챔버 및 제2 플라즈마-세정 프로세스 챔버를 포함할 수 있다. 동일한 진공 프로세싱 시스템 상의 2개의 타입들의 표면 재료 제거 챔버의 공존은 기판들이 표면 준비와 에피택셜 증착 사이에 진공에서 유지될 수 있게 하며, 이는 기판들이 대기(ambient)에 노출되는 시간을 감소시키고, 별개의 프로세싱 챔버 또는 시스템 상에서 기판들을 준비할 필요성을 제거한다. 또한, 이러한 아키텍처는, 2개의 이송 챔버들 사이의 패스-스루 스테이션이 또한 사전-세정 프로세스 챔버로서 기능하기 때문에, 진공 시스템 상의 프로세스 챔버들의 수를 최대화하며, 이는 또한, 기판들의 전체 핸들링 시간을 감소시킨다.
[00117] 도 1의 프로세스(102)의 예가 도 2a의 프로세싱 챔버(200)에서 수행될 수 있다. 아르곤이 원격 플라즈마 유닛(252)을 통해 라우팅되고, 아르곤 내의 5-10% HF의 제1 혼합물이 유입구(256)를 통해 라우팅되며, 아르곤 내의 25% NH3의 제2 혼합물이 유입구(258)를 통해 라우팅된다. 원격 플라즈마는 2 sLm으로 유동하는 아르곤 가스에 500 W의 마이크로파 또는 RF 전력을 인가함으로써 형성된다. 제1 혼합물은 500 sccm으로 제1 유입구(256)를 통해 유동되며, 제2 혼합물은 500 sccm으로 제2 유입구(258)를 통해 유동된다. 기판은 열 제어 플리넘(235)을 통해 온도 제어 유체를 라우팅함으로써 섭씨 10도의 온도로 유지된다. 기판 지지부(232)는 반경 방향 온도 제어를 제공하기 위해 전력을 공급받을 수 있다. 챔버는 5 Torr의 압력으로 유지되며, 기판은 기판 표면 상의 모든 원하는 산화물들을 승화가능한 고체로 변환시키는 데 적합한 시간, 예컨대 300초 동안 프로세싱된다. 이어서, 기판은 제2 가스 분배기(230) 근처로 이동되며, 제2 가스 분배기(230)는 기판 표면에 복사성 또는 전도성 가열을 제공하기 위해 섭씨 약 200도까지 가열된다. 기판 표면 상에 형성된 고체들을 승화시켜서 산소가 없는 표면을 남기기 위해, 기판은, 1 내지 5분 동안, 제2 가스 분배기(230)로부터의 열 복사 근처에서 유지된다. 이어서, 산화물 제거 프로세스로부터의 임의의 잔여 종, 이를테면 불소 함유 종을 제거하기 위해, 기판은 선택적으로, 불활성 분위기 하에서 열-처리될 수 있다. 열 처리는, 열 처리 챔버에 기판을 배치하고, 챔버 내의 열 처리 장치를 에너자이징하여, 약 1분 동안 섭씨 약 300도의 온도로 기판을 가열하는 것을 포함할 수 있다.
[00118] 도 1의 프로세스(104)의 예가 도 3의 프로세싱 챔버(300)에서 수행될 수 있다. 수소 가스가 2 sLm으로 원격 플라즈마 소스(350)를 통해 라우팅되며, 원격 플라즈마 소스(350)는 마이크로파 또는 RF 전력으로 500 W로 전력을 공급받는다. 기판은 기판 지지부(314)를 가열함으로써 섭씨 약 400도의 온도로 유지되며, 프로세스 구역(330)은 약 0.5 Torr의 압력으로 유지된다. 기판은, 모든 원하는 오염물 또는 외래 종을 제거하고, 기판의 표면을 수소 종결시키는 데 적합한 시간, 예컨대 약 1분 동안, 이들 조건들로 프로세싱된다. 이어서, 선택적으로, 기판은, 원격 플라즈마 소스(350)에서 플라즈마 전력을 중단시키면서 도관(360)을 통해 불활성 가스, 이를테면 아르곤을 공급함으로써, 불활성 분위기 하에서 추가적으로 열 처리 또는 어닐링될 수 있다. 기판은 섭씨 600도의 어닐링 온도로 유지될 수 있다. 대안적으로, 기판이, 예컨대, 도 9에 관하여 설명된 프로세싱 시스템(900)에 커플링될 수 있는 어닐링 챔버로 이동될 수 있고, 그리고 더 높은 온도, 이를테면 섭씨 800 내지 1000도로 어닐링 또는 열 처리됨으로써, 임의의 남아 있는 표면 결함들이 제거되어, 최소의 결함들 및 불순물들을 갖는 균일하게 세정되고 잘-정렬된 표면 결정 구조가 남게 될 수 있다.
[00119] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 적어도 하나의 기상 에피택시 챔버에 커플링된 이송 챔버;
    상기 이송 챔버에 커플링된 진공 챔버로서, 상기 진공 챔버는 산화물 제거 챔버를 포함하는 것인, 진공 챔버; 및
    상기 이송 챔버에 커플링된 플라즈마 오염물 제거 챔버를 포함하며,
    상기 산화물 제거 챔버는,
    혼합 챔버, 플라즈마 생성 영역, 및 가스 분배기를 갖는 덮개 조립체로서, 상기 플라즈마 생성 영역은 상기 혼합 챔버와 유체 연통하는 유출구를 가지는 것인, 덮개 조립체;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제1 가스 유입구;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제2 가스 유입구;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제3 가스 유입구; 및
    기판 지지부를 포함하고,
    제1 가스 유입구, 제2 가스 유입구, 및 제3 가스 유입구 중 적어도 하나는 상기 플라즈마 생성 영역의 유입구와 적접 유체 연통하고, 그리고 제1 가스 유입구, 제2 가스 유입구, 및 제3 가스 유입구 중 적어도 하나는 상기 혼합 챔버와 직접 유체 연통하며 상기 플라즈마 생성 영역에 직접적으로 유체적으로 커플링되지 않고,
    상기 기판 지지부는,
    기판 지지 표면;
    냉각 채널; 및
    상기 기판 지지 표면의 리세스에 배치되고, 상기 기판 지지부를 통해 리프트 액추에이터에 커플링된 리프트 부재를 갖고,
    상기 플라즈마 오염물 제거 챔버는,
    원격 플라즈마 소스; 및
    상부에 배치된 기판을 25 ℃ 내지 650 ℃의 온도로 가열하도록 동작가능한 기판 지지부를 포함하는,
    프로세싱 시스템.
  2. 삭제
  3. 제1 항에 있어서,
    상기 플라즈마 생성 영역는, RF 소스 또는 마이크로파 소스의 사용에 의해 내부에 플라즈마를 형성하도록 구성된 원격 플라즈마 소스에 커플링되는,
    프로세싱 시스템.
  4. 제1 항에 있어서,
    상기 원격 플라즈마 소스는 상기 챔버의 덮개에 커플링되는,
    프로세싱 시스템.
  5. 삭제
  6. 삭제
  7. 제1 항에 있어서,
    상기 산화물 제거 챔버는 불소 프로세싱 챔버이고, 상기 플라즈마 오염물 제거 챔버는 수소 프로세싱 챔버인,
    프로세싱 시스템.
  8. 제7 항에 있어서,
    상기 플라즈마 오염물 제거 챔버는, 상부에 배치된 기판을 300 ℃ 초과의 온도로 가열하도록 동작가능한 기판 지지부를 포함하는,
    프로세싱 시스템.
  9. 제8 항에 있어서,
    상기 이송 챔버에 커플링된 어닐링 챔버를 더 포함하는,
    프로세싱 시스템.
  10. 적어도 하나의 막 형성 챔버에 커플링된 제1 이송 챔버;
    제2 이송 챔버;
    상기 제1 이송 챔버 또는 상기 제2 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버;
    상기 제1 이송 챔버 또는 상기 제2 이송 챔버에 커플링된 플라즈마 오염물 제거 챔버; 및
    상기 제2 이송 챔버에 커플링된 로드 락 챔버
    를 포함하고,
    상기 플라즈마 산화물 제거 챔버는,
    혼합 챔버, 플라즈마 생성 영역, 및 가스 분배기를 갖는 덮개 조립체로서, 상기 플라즈마 생성 영역은 상기 혼합 챔버와 유체 연통하는 유출구를 가지는 것인, 덮개 조립체;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제1 가스 유입구;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제2 가스 유입구;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제3 가스 유입구를 포함하고,
    제1 가스 유입구, 제2 가스 유입구, 및 제3 가스 유입구 중 적어도 하나는 상기 플라즈마 생성 영역의 유입구와 적접 유체 연통하고, 그리고 제1 가스 유입구, 제2 가스 유입구, 및 제3 가스 유입구 중 적어도 하나는 상기 혼합 챔버와 직접 유체 연통하며 상기 플라즈마 생성 영역에 직접적으로 유체적으로 커플링되지 않는,
    프로세싱 시스템.
  11. 삭제
  12. 삭제
  13. 제10 항에 있어서,
    상기 플라즈마 생성 영역은, RF 소스 또는 마이크로파 소스의 사용에 의해 내부에 플라즈마를 형성하도록 구성된 원격 플라즈마 소스에 커플링되는,
    프로세싱 시스템.
  14. 삭제
  15. 제10 항에 있어서,
    상기 적어도 하나의 막 형성 챔버는 에피택시 챔버인,
    프로세싱 시스템.
KR1020207008638A 2017-08-30 2018-08-13 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템 KR102360082B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762552145P 2017-08-30 2017-08-30
US62/552,145 2017-08-30
US16/057,213 US11049719B2 (en) 2017-08-30 2018-08-07 Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US16/057,213 2018-08-07
PCT/US2018/046497 WO2019046000A1 (en) 2017-08-30 2018-08-13 INTEGRATED EPITAXIAL SYSTEM WITH HIGH SELECTIVITY OXIDE REMOVAL AND REMOVAL OF HIGH TEMPERATURE CONTAMINANTS

Publications (2)

Publication Number Publication Date
KR20200035187A KR20200035187A (ko) 2020-04-01
KR102360082B1 true KR102360082B1 (ko) 2022-02-08

Family

ID=65435515

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207008638A KR102360082B1 (ko) 2017-08-30 2018-08-13 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템

Country Status (6)

Country Link
US (1) US11049719B2 (ko)
JP (1) JP7046162B2 (ko)
KR (1) KR102360082B1 (ko)
CN (1) CN111033713A (ko)
TW (2) TWI820036B (ko)
WO (1) WO2019046000A1 (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10483091B1 (en) * 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6736720B1 (ja) * 2019-03-29 2020-08-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US20210035851A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Low contact area substrate support for etching chamber
CN115885057A (zh) * 2020-06-03 2023-03-31 应用材料公司 沉积设备、处理系统以及制造光电装置层的方法
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
KR20220040074A (ko) * 2020-09-23 2022-03-30 삼성전자주식회사 에어 갭을 포함하는 반도체 소자 제조 방법
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
US20220157604A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
CN116917533A (zh) * 2021-02-19 2023-10-20 应用材料公司 基板支撑件、处理基板的方法、以及处理系统
US20220367216A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
US20230323543A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Integrated cleaning and selective molybdenum deposition processes
CN117438277B (zh) * 2023-12-19 2024-04-12 北京北方华创微电子装备有限公司 匀流组件、进气装置及半导体设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070113868A1 (en) 2005-11-22 2007-05-24 Applied Materials,Inc. Apparatus and a method for cleaning a dielectric film
US20090017227A1 (en) 2007-07-11 2009-01-15 Applied Materials, Inc. Remote Plasma Source for Pre-Treatment of Substrates Prior to Deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
TW201027784A (en) * 2008-10-07 2010-07-16 Applied Materials Inc Advanced platform for processing crystalline silicon solar cells
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
KR20130092574A (ko) 2010-08-04 2013-08-20 어플라이드 머티어리얼스, 인코포레이티드 기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN107574476A (zh) 2013-08-09 2018-01-12 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
JP6285411B2 (ja) 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070113868A1 (en) 2005-11-22 2007-05-24 Applied Materials,Inc. Apparatus and a method for cleaning a dielectric film
US20090017227A1 (en) 2007-07-11 2009-01-15 Applied Materials, Inc. Remote Plasma Source for Pre-Treatment of Substrates Prior to Deposition

Also Published As

Publication number Publication date
JP2020532140A (ja) 2020-11-05
US20190067006A1 (en) 2019-02-28
WO2019046000A1 (en) 2019-03-07
JP7046162B2 (ja) 2022-04-01
US11049719B2 (en) 2021-06-29
CN111033713A (zh) 2020-04-17
TW202403941A (zh) 2024-01-16
KR20200035187A (ko) 2020-04-01
TW201921548A (zh) 2019-06-01
TWI820036B (zh) 2023-11-01

Similar Documents

Publication Publication Date Title
KR102360082B1 (ko) 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
KR102300508B1 (ko) 통합 에피택시 및 사전세정 시스템
JP6272934B2 (ja) エピタキシャル堆積プロセス及び装置
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
TWI847333B (zh) 整合式磊晶與預清洗系統
US20220375751A1 (en) Integrated epitaxy and preclean system

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant