KR20130092574A - 기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법 - Google Patents

기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법 Download PDF

Info

Publication number
KR20130092574A
KR20130092574A KR1020137005360A KR20137005360A KR20130092574A KR 20130092574 A KR20130092574 A KR 20130092574A KR 1020137005360 A KR1020137005360 A KR 1020137005360A KR 20137005360 A KR20137005360 A KR 20137005360A KR 20130092574 A KR20130092574 A KR 20130092574A
Authority
KR
South Korea
Prior art keywords
substrate
oxide layer
thickness
contaminants
interface
Prior art date
Application number
KR1020137005360A
Other languages
English (en)
Inventor
사티시 쿠푸라오
매니쉬 헴카르
빈 트란
이환 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130092574A publication Critical patent/KR20130092574A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

본 발명의 실시예들은 일반적으로 기판 표면들로부터 오염물들 및 자연 산화물들을 제거하기 위한 방법들에 관한 것이다. 이러한 방법들은 일반적으로, 위에 산화물 층을 갖는 기판을 산화원에 노출시키는 단계를 포함한다. 산화원은 산화물 층 아래의 기판의 상부를 산화시켜 증가된 두께를 갖는 산화물 층을 형성하게 된다. 그 다음에, 증가된 두께를 갖는 산화물 층이 제거되어 기판의 깨끗한 표면을 노출하게 된다. 산화물 층의 제거는 일반적으로, 산화물 층에 존재하는 오염물들, 특히 산화물 층과 기판의 경계면에 존재하는 오염물들의 제거를 포함한다. 그 다음에, 기판의 깨끗한 표면 상에 에피택셜 층이 형성될 수 있다.

Description

기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법{METHOD OF REMOVING CONTAMINANTS AND NATIVE OXIDES FROM A SUBSTRATE SURFACE}
본 발명의 실시예들은 일반적으로 반도체 기판 표면으로부터 자연 산화물들 및 오염물들을 제거하는 것에 관한 것이다.
실리콘 및 기타 다른 반도체 기판들에 그리고 이러한 기판들 상에 집적 회로들이 형성된다. 단결정 실리콘의 경우, 용융 실리콘의 배스로부터 잉곳을 성장시키고나서 고형화된 잉곳을 다수의 웨이퍼들로 절단(sawing)함으로써 기판들이 만들어진다. 그 다음에, 단결정 실리콘 웨이퍼 상에 에피택셜 실리콘 층이 형성되어, 도핑 또는 비도핑 형태일 수 있는 무결함 실리콘 층이 형성될 수 있다. 트랜지스터들과 같은 반도체 디바이스들은 이러한 에피택셜 실리콘 층으로부터 제조된다. 이렇게 형성된 에피택셜 실리콘 층의 전기적 특성들은 일반적으로 단결정 실리콘 기판의 특성들보다 양호할 수 있다.
단결정 실리콘 및 에피택셜 실리콘 층의 표면들은 통상적인 주변 조건들에 노출될 때 오염에 취약하다. 예를 들면, 에피택셜 층의 증착에 앞서 자연 산화물 층이 단결정 실리콘 표면 상에 형성될 수 있다. 부가적으로, 주변 환경에 존재하는 오염물들이 단결정 표면 상에 증착될 수 있다. 단결정 실리콘 표면 상에 자연 산화물 층 또는 오염물들이 존재하게 되면, 단결정 표면 상에 이후에 형성되는 에피택셜 층의 품질에 악영향을 미치게 된다. 현재의 세정 방법들은 단결정 실리콘 표면으로부터 자연 산화물들 및 오염물들 중 일부를 제거하지만, 일부 오염물들은 여전히 남게 된다.
그러므로, 기판 표면으로부터 자연 산화물들 및 오염물들을 제거하는 보다 효율적인 방법이 요구된다.
본 발명의 실시예들은 일반적으로 기판 표면들로부터 오염물들 및 자연 산화물들을 제거하기 위한 방법들에 관한 것이다. 이러한 방법들은 일반적으로, 위에 산화물 층을 갖는 기판을 산화원에 노출시키는 단계를 포함한다. 산화원은 산화물 층 아래의 기판의 상부를 산화시켜 증가된 두께를 갖는 산화물 층을 형성하게 된다. 그 다음에, 증가된 두께를 갖는 산화물 층이 제거되어 기판의 깨끗한 표면을 노출하게 된다. 산화물 층의 제거는 일반적으로, 산화물 층에 존재하는 오염물들, 특히 산화물 층과 기판의 경계면에 존재하는 오염물들의 제거를 포함한다. 그 다음에, 기판의 깨끗한 표면 상에 에피택셜 층이 형성될 수 있다.
일 실시예에서, 기판 표면을 세정하는 방법은 챔버에 기판을 위치시키는 단계를 포함한다. 상기 기판은 위에 산화물 층을 갖고, 상기 산화물 층은 제1 두께를 가진다. 상기 기판을 산화원에 노출시킴으로써 상기 산화물 층의 두께가 제2 두께로 증가된다. 상기 기판으로부터 상기 산화물 층이 제거되고, 상기 산화물 층의 제거 이후에 상기 기판 상에 재료 층이 증착된다.
다른 실시예에서, 기판 표면을 세정하는 방법은 위에 산화물 층을 갖는 기판을 제1 챔버에 위치시키는 단계를 포함한다. 상기 기판은 상기 기판과 상기 산화물 층 사이의 경계면에 오염물을 가진다. 상기 기판의 상부를 산화시키고 상기 산화물 층을 상기 오염물 아래의 일정 깊이까지 연장하기 위해, 상기 기판을 산화원에 노출시킴으로써 상기 산화물 층의 두께가 증가된다. 상기 산화물 층 및 상기 오염물이 상기 기판으로부터 제거된다.
또 다른 실시예에서, 기판 표면을 세정하는 방법은 기판을 제1 챔버에 위치시키는 단계를 포함한다. 상기 기판은 위에 자연 산화물 층을 갖는 단결정 실리콘을 포함한다. 상기 자연 산화물 층은 제1 두께를 가진다. 산소, 오존, 및 증기로 이루어진 그룹에서 선택되는 산화원에 상기 기판을 노출시킴으로써 상기 자연 산화물 층의 두께가 증가된다. 상기 기판은 제2 챔버에 위치되고, 열 공정 중에 상기 기판으로부터 상기 산화물 층이 제거된다. 상기 기판 상에 에피택셜 층이 형성된다.
본 발명의 상기 특징들이 상세하게 이해될 수 있도록, 위에서 간단히 요약된 본 발명에 대한 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있고, 이들 중 몇몇은 첨부된 도면들에 도시된다. 그러나 본 발명은 기타 다른 동등하게 효과적인 실시예들을 인정할 수 있기 때문에 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 예시하는 것이고 따라서 본 발명의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 반도체 처리를 위한 클러스터 툴에 대한 평면도이다.
도 2는 세정 챔버의 개략적인 단면도이다.
도 3a-3d는 일 실시예에 따른 반도체 기판들의 개략도들이다.
도 4는 반도체 기판을 세정하기 위한 방법의 흐름도이다.
도 5a-5c는 실리콘 기판들 및 그 위에 형성된 에피택셜 층들의 경계면 오염을 도시하는 차트들이다.
이해를 돕기 위해서, 가능한 경우 도면들에 공통되는 동일한 엘리먼트들을 지정하기 위해 동일한 도면 부호들이 사용되었다. 일 실시예에서 개시된 엘리먼트들이 특별한 언급 없이 다른 실시예들에 대해서도 유용하게 활용될 수 있음이 예상된다.
본 발명의 실시예들은 일반적으로 기판 표면들로부터 오염물들 및 자연 산화물들을 제거하기 위한 방법들에 관한 것이다. 이러한 방법들은 일반적으로 위에 산화물 층을 갖는 기판을 산화원에 노출시키는 것을 포함한다. 이러한 산화원은 산화물 층 아래의 기판의 상부를 산화시켜 증가된 두께를 갖는 산화물 층을 형성하게 된다. 그 다음에, 증가된 두께를 갖는 산화물 층이 제거되어 기판의 깨끗한 표면을 노출시키게 된다. 산화물 층의 제거는 일반적으로 산화물 층에 존재하는 오염물들, 특히 산화물 층과 기판의 경계면에 존재하는 오염물들의 제거를 포함한다. 그 다음에 에피택셜 층이 기판의 깨끗한 표면 상에 형성될 수 있다.
본 발명의 실시예들은, 반도체 세정 챔버, 예를 들면 Santa Clara, California 소재의 Applied Materials, Inc.로부터 입수할 수 있는 SiCoNi™ Preclean 챔버에서 유리하게 실시될 수 있다. 기타 다른 제조자들로부터 입수가능한 챔버들 또한 본원에서 기술되는 실시예들을 실시하기 위해 이용될 수 있다.
도 1은 반도체 처리를 위한 클러스터 툴(100)의 평면도를 도시한다. 클러스터 툴(100)은 반도체 제조 공정에서 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈러 시스템이다. 클러스터 툴(100)은 한 쌍의 로드 락들(105)을 통해 전단부 환경(104)에 연결되는 중앙 이송 챔버(107)를 포함한다. 공장 인터페이스 로봇들(108)이 전단부 환경(104)에 배치되고, 전단부 환경(104) 상에 장착된 복수의 포드들(pod; 103)과 로드 락들(105) 사이에서 기판들을 왕복시키도록 구성된다. 제어기(102)가 중앙 이송 챔버(107)에 결합된다. 제어기(102)는 컴퓨터 판독가능 매체를 포함하고, 본원에서 기술되는 방법들에 따라 기판들을 처리하기 위한 하나 이상의 프로그램들을 실행하도록 구성된다.
복수의 챔버들(101A 내지 101D)이 필요한 공정을 수행하기 위해 중앙 이송 챔버(107)에 장착된다. 중앙 이송 챔버(107)에 배치된 중앙 로봇(106)은 복수의 챔버들(101A 내지 101D)과 로드 락들(105) 사이에서, 또는 복수의 챔버들(101A 내지 101D) 사이에서 기판들을 이송하도록 구성된다. 복수의 챔버들(101A 내지 101D)은 세정 챔버, 주입 챔버, 어닐링 챔버, 에칭 챔버, 또는 증착 챔버 중 하나 이상의 챔버의 임의의 조합을 포함할 수 있다. 예를 들어, 챔버들(101A 및 101B)은 세정 챔버들일 수 있지만, 챔버들(101C 및 101D)은 반도체 기판들 상에 에피택셜 층들을 성장시키거나 또는 증착하도록 구성된 증착 챔버들일 수 있다. 일 실시예에서, 산화원이 결합되어 있는 제1 세정 챔버, 건식 에칭 공정을 수행하도록 구성되는 제2 세정 챔버, 및 에피택셜 증착 챔버가 중앙 이송 챔버(107)에 결합된다.
도 2는 세정 챔버의 개략적인 단면도이다. 챔버(201)는 열 또는 플라즈마 기반 산화 공정 및/또는 플라즈마 보조 건식 에칭 공정을 수행하는데 특히 유용할 수 있다. 챔버(201)는 챔버 본체(212), 리드 어셈블리(214), 및 지지 어셈블리(216)를 포함한다. 리드 어셈블리(214)는 챔버 본체(212)의 상단에 배치되고, 지지 어셈블리(216)는 챔버 본체(212) 내에 적어도 부분적으로 배치된다. 진공 시스템이 챔버(201)로부터 가스들을 제거하기 위해 이용될 수 있다. 진공 시스템은 챔버 본체(212)에 배치된 진공 포트(221)에 결합되는 진공 펌프(218)를 포함한다.
리드 어셈블리(214)는 적어도 2개의 적층형 컴포넌트들을 포함하고, 이러한 컴포넌트들은 그 사이에 플라즈마 볼륨 또는 공동을 형성하도록 구성된다. 제1 전극(220)이 제2 전극(222) 위에 수직으로 배치되어 플라즈마 볼륨을 한정하게 된다. 제1 전극(220)은 전원(224), 예컨대 무선 주파수(RF) 전력 공급원에 연결되고, 제2 전극(222)은 접지 또는 소스 리턴(source return)에 연결되어, 제1 전극(220)과 제2 전극(222) 사이에 커패시턴스를 형성한다. 리드 어셈블리(214)는 또한 차단 플레이트(228) 및 가스 분배 플레이트(230)를 통해 기판 표면에 세정 가스를 제공하기 위한 하나 이상의 가스 유입구들(226)을 포함한다. 세정 가스는 에칭제 또는 이온화된 활성 라디칼, 예를 들면 이온화된 불소 또는 염소, 또는 산화제, 예컨대 오존일 수 있다. 부가적으로 챔버(201)는 챔버(201) 내의 공정들을 제어하기 위한 제어기(202)를 포함한다.
지지 어셈블리(216)는 처리 중에 기판(210)을 지지하기 위한 기판 지지체(232)를 포함할 수 있다. 기판 지지체(232)는 축(236)에 의해 액추에이터(234)에 결합될 수 있고, 이러한 축(236)은 챔버 본체(212)의 하부면에 형성된 중앙-배치 개구부를 통해 연장된다. 액추에이터(234)는 축(236) 주위로부터의 진공 누출을 방지하는 벨로우즈들(미도시)에 의해 챔버 본체(212)에 가요성 있게 밀봉될 수 있다. 액추에이터(234)는 기판 지지체(232)로 하여금 챔버 본체(212) 내에서 공정 위치와 더 낮은 이송 위치 사이에서 수직으로 이동할 수 있게 한다. 이송 위치는 챔버 본체(212)의 측벽에 형성된 슬릿 밸브의 개구부의 약간 아래쪽이다.
기판 지지체(232)는 그 위에서 처리될 기판을 지지하기 위한 평탄한, 또는 실질적으로 평탄한 표면을 가진다. 기판 지지체(232)는 축(236)에 의해 결합된 액추에이터(234)에 의해 챔버 본체(212) 내에서 수직으로 이동될 수 있다. 동작 시에, 기판 지지체(232)는 처리 중인 기판(210)의 온도를 제어하기 위해 리드 어셈블리(214)에 근접한 위치로 상승될 수 있다. 이와 같이, 기판(210)은 분배 플레이트(230)로부터 방출된 방사 또는 대류를 통해 가열될 수 있다.
도 3a 내지 3d는 일 실시예에 따른 반도체 기판들의 개략도들이다. 도 3a는 제1 두께(T1)를 갖는 산화물 층(352A)이 위에 배치된 기판(310)을 도시한다. 통상적인 기판들은 실리콘, 게르마늄, 및 3족-5족 화합물들, 예컨대 갈륨 비화물, 갈륨 인화물, 및 갈륨 질화물을 포함한다. 일 실시예에서, 기판(310)은 반도체 기판, 예를 들면 실리콘일 수 있고, 산화물 층(352A)은 자연 산화물 층, 예컨대 이산화 규소일 수 있다. 기판(310)은 또한 불순물들 또는 오염물들(354A)을 포함할 수 있다. 일반적으로, 오염물들(354A)은 기판(310)이 처리 장비 사이에서 이송되거나 기판(310)이 오염물들을 갖는 처리 챔버로 이송되는 경우에 기판(310)의 표면 상에 증착된다. 그러나, 오염물들(354A)은 또한 다른 장소들에서 그리고 다른 방식들로 기판(310)에 도입될 수 있다. 본원에서 사용될 때 오염물들은 바람직하지 않은 임의의 재료, 예를 들면 입자상 물질 또는 원자들을 포함할 수 있고, 이러한 것들은 기판 처리에 악영향 또는 바람직하지 않은 영향을 미칠 수 있다. 예를 들면 오염물들(354A)은, 기판(310) 주위의 분위기에 존재하고 처리 이전에 기판(310)에 바람직하지 않게 매립되는 붕소, 질소, 불소, 또는 염소를 포함할 수 있다. 오염물들(354A)은 기판(310) 또는 산화물 층(352)의 표면에 접촉할 수 있고, 산화물 층(352A) 내로 확산 또는 매립될 수 있다. 부가적으로 또는 대안적으로, 오염물들(354A)은 기판(310)의 표면에 접촉할 수 있고 산화물 층(352A)이 오염물들(354A) 주위에 및/또는 오염물들(354A) 위에 형성될 수 있다. 오염물들은 산화물 층(352A) 내에서, 기판/산화물 층 경계면(356A)에 위치할 수 있거나, 또는 경계면(356A)의 약간 아래쪽에 그리고 부분적으로 기판(310)에 매립될 수 있다.
도 3b에서, 기판(310)은 그 위에 제2 두께(T2)를 갖는 산화물 층(352B)이 배치되어 있다. 산화물 층(352A)의 두께는 아래로 제2 경계면(358B)까지 연장되어 있어, 두께(T2)를 갖는 산화물 층(352B)을 형성한다. 도 3b에 도시된 실시예에서, 기판(310)은 제1 경계면(356B)과 제2 경계면(358B) 사이의 기판(310)의 부가적인 재료를 산화시키기 위해서 산화원, 예컨대 산화 가스에 노출되었다. 기판(310)의 상부를 산화 가스에 노출시킴으로써, 기판/산화물 층 경계면은 제1 경계면(356B)으로부터 제2 경계면(358B)으로 연장 또는 이동되어, 희생적으로 기판(310)의 상부를 산화시키게 된다.
제1 경계면(356B)은 기판(310)의 상부를 산화시키기 전에 기판(310)과 산화물 층(352A) 사이의 경계면을 나타낸다. 제2 경계면(358B)은 산화물 층(352B)과 하부의 (비-산화된) 기판 표면 사이의 경계면을 나타낸다. 산화물 층(352B)은 증가된 두께를 갖는 산화물 층(352A)과 동일하다는 점을 이해해야 한다. 설명의 목적으로, 산화물 층(352B)은 기판(310)의 상부가 산화될 때 형성되는 것으로 언급될 것이다. 그러나, 산화물 층(352B)의 형성은 산화물 층(352A)의 두께를 증가시키는 것과 동등하다. 따라서, 산화물 층(352B)은 산화물 층(352A) 이외의 별도의 층이 아니다; 오히려, 산화물 층(352B)은 증가된 깊이 또는 두께를 갖는 산화물 층(352A)을 나타낸다.
산화물 층(352B)을 형성하기 위해 산화물 층(352A)을 제2 경계면(358B)까지 증가 또는 연장시킴으로써, 산화물 층(352B)은 오염물들(354A)을 포함하여 기판(310)의 상부면을 둘러싼다. 따라서 산화물 층(352B)은, 제1 경계면(356B)에 있는 오염물들(354A) 뿐만 아니라, 산화물 층(352B)을 형성하기 전에 기판(310)의 상부의 처음의 몇 옹스트롬 내에 있는 오염물들을 포함한다. 산화물 층(352B)은 일반적으로, 약 8 옹스트롬 이상, 예를 들면 약 8 옹스트롬 내지 약 25 옹스트롬의 두께(T2)를 갖는다. 바람직하게는, 산화물 층(352B)은 약 15 옹스트롬 내지 약 25 옹스트롬, 예를 들면 약 15 옹스트롬의 두께(T2)를 갖는다. 일 실시예에서, 산화물 층(352B)은 약 25 옹스트롬 이상의 두께(T2)를 가질 수 있다. 도 1의 클러스터 툴에서와 같이, 다수의 기판들을 처리할 때, 제1 경계면(356B)으로부터 제2 경계면(358B)으로의 다수의 기판 표면들의 산화는 세트 공정 처리법을 이용하여 지속적으로 재생성될 수 있다.
두께(T2)를 갖는 산화물 층(352B)을 형성하기 위해 산화물 층(352A)의 두께를 증가시키기 위한 적합한 산화원들은 산소, 오존, 인-시튜 또는 엑스-시튜 증기, 또는 기판(310)의 표면에 부가적인 오염물들을 도입하지 않는 임의의 여타 산화제를 포함한다. 기판(310)의 상부를 희생적으로 산화시킴으로써 산화물 층(352B)을 형성하기 위해 복수의 산화 방법들 및 챔버들이 이용가능하다. 예를 들면, Santa Clara, California 소재의 Applied Materials, Inc.로부터 입수가능한 Applied Radox™ RTP 챔버가 열적 환경에서 라디칼 산소를 이용하여 산화물 층(352B)을 형성하기 위해 이용될 수 있다. 산화물 층(352A)의 두께를 제2 경계면(358B)까지 증가시켜 산화물 층(352B)을 형성하는 동안 기판(310)은 섭씨 약 1100도 미만의 온도로 가열될 수 있다. 일 실시예에서, 기판(310)의 온도는 섭씨 약 625도 내지 900도일 수 있다.
기판(310)의 상부는 또한 저온 산화 공정, 예컨대 디커플링된 플라즈마 산화 공정을 이용하여 산화물 층(352B)을 형성하도록 산화될 수 있다. 디커플링된 플라즈마 산화 공정은 산화물 층(352A)의 두께를 증가시키기 위해 이온화된 가스 또는 플라즈마를 이용한다. 디커플링된 플라즈마 산화 공정은 섭씨 약 25도로 기판(310)을 유지하면서 수행될 수 있다. 기판(310)의 전체 열 버짓(thermal budget)이 감소되도록 저온 공정을 이용하여 기판(310)을 산화시키는 것이 바람직하다. 연장된 시간 기간 동안 기판(310)을 고온의 공정들에 노출시키면(높은 열 버짓) 기판(310)에 손상을 유발할 수 있고, 최종 디바이스 성능을 열화시킬 수 있다. 그러므로, 이용가능한 경우 저온의 공정들을 이용함으로써 전체 열 버짓을 감소시키는 것이 바람직하다.
도 3c에서, 산화물 층(352B)은 기판(310)으로부터 제거되었다. 산화물 층(352B)이 제2 경계면(358B)까지 연장되었기 때문에, 산화물 층(352B)이 제거될 때, 제2 경계면(358B) 위의 오염물들도 제거된다. 산화물 층(352A)의 두께가 산화물 층(352B)을 형성하도록 제2 경계면(358B)까지 연장되지 않는 경우, 제1 경계면(356B)과 제2 경계면(358B) 사이에 위치한 오염물들은 산화물 층(352A)의 제거 이후에도 여전히 기판(310) 상에 잔존할 것이다. 따라서, 기존 산화물 층을 두께(T1)로부터 증가된 두께(T2)로 더 산화시킨 후 산화물 층을 제거함으로써, 보다 많은 오염물들이 기판(310)의 표면으로부터 제거될 수 있다. 대조적으로, 산화물 층(352A)의 두께가 증가되지 않는 경우, 산화물 층(352A)의 제거 이후에 더 많은 양의 오염물들이 기판(310) 상에 잔존할 수 있다. 두께(T2)를 갖는 산화물 층(352B)의 제거는 기판 표면(357C) 위에 실질적으로 더 적은 오염물들을 남겨 놓는데, 이는 기판(310)의 희생적인 상부에 존재하는 오염물들 또한 제거되기 때문이다. 오염물들이 감소하면, 이후에 증착되는 막들의 품질뿐만 아니라, 증착 속도 및 전체적인 최종 디바이스 성능도 개선된다.
도 3d에서, 에피택셜 층(360D)이 이후에 기판(310)의 기판 표면(357C) 상에 증착되어, 그 사이에 경계면을 생성한다. 기판 표면(357C)은 감소된 수의 오염물들을 갖고, 실질적으로 산화물이 없다. 기판 표면(357C)이 감소된 수의 오염물들을 갖기 때문에, 에피택셜 층(360D)은 기판(310) 상에서 보다 쉽게 그리고 보다 균일하게 성장 또는 증착될 수 있다. 일 실시예에서, 에피택셜 층(360D)은 실리콘 에피택셜 층, 실리콘-게르마늄 에피택셜 층, 또는 실리콘-탄소 에피택셜 층이다. 다른 실시예에서, 에피택셜 층은 도펀트, 예를 들어 붕소 또는 인을 포함한다.
도 4는 반도체 기판을 세정하기 위한 방법의 흐름도이다. 동작(472)에서, 그 위에 산화물 층을 갖는 기판이 기판 세정 챔버에 위치된다. 일 실시예에서, 세정 챔버는 나머지 공정 챔버들과 중앙 이송 챔버를 공유하지 않는 독립형 챔버이다. 동작(474)에서, 기판을 세정 챔버 내에서 산화원에 노출시키고, 산화물 층 아내의 기판의 상부를 산화시킴으로써 산화물 층의 두께가 증가된다. 기판 상에 배치된 산화물 층의 두께는, 기존 산화물 층 바로 아래의 기판의 상부를 희생적으로 산화시킴으로써 증가된다. 바람직하게 산화물 층의 두께는, 제1 기판/산화물 층 경계면에서 있는 대부분의 오염물들을 넘어서는 지점까지 증가된다.
동작(476)에서, 증가된 두께를 갖는 산화물 층은 기판 표면으로부터 제거된다. 산화물 층은 동작(472)에서 이용되는 동일한 기판 세정 챔버에서 제거될 수 있거나, 기판은 산화물 층을 제거하기 위해 다른 챔버로 이송될 수 있다. 일 실시예에서, 기판은 하나 이상의 세정 챔버들 및 에피택셜 증착 챔버를 포함하는 클러스터 툴로 이송될 수 있다. 산화물 층이 제거될 때, 산화물 층에 있던 대부분의 오염물들뿐만 아니라, 제1 기판/산화물 층 경계면(산화물 층 두께를 증가시키기 전의 경계면)에 있는 임의의 오염물들도, 제2 기판/산화물 층 경계면(산화물 층 두께를 증가시킨 이후의 경계면)의 깊이에 이르기까지 제거된다. 따라서, 기판 표면의 상부는 원하는 양의 오염물들을 제거하기 위해 충분한 깊이까지 희생적으로 산화될 수 있다. 산화물 층 및 오염물들을 제거함으로써, 산화물 층의 두께가 증가되지 않는 경우에 비하여, 이후 재료 층을 증착하기 위해 더 깨끗한 기판 표면을 생성하게 된다. 동작(478)에서, 기판은 증착 챔버로 이송되고, 산화물 층 및 오염물들이 제거된 기판 표면 상에 에피택셜 층이 성장 또는 형성된다.
도 5a-5c는 실리콘 기판들의 경계면 오염 및 그 위에 형성된 에피택셜 층들을 도시하는 차트들이다. 도 5a에서, 위에 자연 산화물 층을 갖는 실리콘 기판은 이온화된 활성 라디칼 에칭을 이용하여 세정되었다. 이후, 그 위에 실리콘-게르마늄 에피택셜 층이 대략 섭씨 700도에서 성장되었다. 게르마늄의 급격한 감소(steep decline)는 실리콘 기판과 에피택셜 층 사이의 경계면(A)을 나타낸다. 경계면(A)에서, 약 22.2 퍼센트 게르마늄을 함유하는 실리콘-게르마늄 에피택셜 층이 실리콘 기판 상에 성장되었다. 입방 센티미터당 염소, 질소, 불소, 탄소, 산소, 및 붕소 원자들의 농도가 도시되어 있고, 이는 2차 이온 질량 분광분석법에 의해 측정된 것이다.
실리콘 기판 및 에피택셜 층의 염소 원자들의 농도는 입방 센티미터당 약 5×1015개의 원자들이다. 그러나, 실리콘 기판과 에피택셜 층 사이의 경계면(A)에서는, 염소 원자 농도가 입방 센티미터당 약 1×1018개의 원자들이다. 실리콘 기판 및 에피택셜 층 내의 질소 및 불소의 농도는 입방 센티미터당 약 6×1016개의 원자들이다. 경계면에서의 질소 및 불소의 농도는 입방 센티미터당 약 1×1017개의 원자들이다. 실리콘 기판 및 에피택셜 층 내의 탄소의 농도는 입방 센티미터당 약 2×1017개의 원자들이지만, 경계면(A)에서의 탄소의 농도는 입방 센티미터당 약 8×1017개의 원자들이다.
실리콘 기판 내의 산소의 농도는 입방 센티미터당 약 5×1017개의 원자들이지만, 에피택셜 층 내의 산소의 농도는 입방 센티미터당 약 3×1017개의 원자들이다. 경계면(A)에서의 산소의 농도는 입방 센티미터당 약 1×1019개의 원자들이다. 에피택셜 층은 입방 센티미터당 약 5×1019개의 원자들의 붕소 농도로 도핑되지만, 실리콘 기판 내의 붕소 농도는, 붕소-도핑된 에피택셜 층으로부터 실리콘 기판으로의 확산에 기인하여 점점 적어지는 붕소 농도를 갖는다. 도시된 원자들에 대하여, 각 원자의 경계면 농도는 실리콘 기판 내의 농도 또는 에피택셜 층 내의 농도보다 크고, 이는 경계면(A)에서 농도 피크들에 의해 나타난 바와 같다.
도 5b에서, 자연 산화물 층을 위에 구비하는 실리콘 기판은 이온화된 활성 라디칼 에칭을 이용하여 세정되었다. 이온화된 활성 라디칼 에칭 이전에, 실리콘 기판은 섭씨 약 650도의 온도로 가열되었고, Santa Clara, California 소재의 Applied Materials, Inc.로부터 입수가능한 Applied Radox™ RTP 챔버에서 라디칼 산소에 노출되었다. 자연 산화물 층의 두께는 약 15 옹스트롬으로 증가되었다. 기판은 세정 챔버로 이송되었고, 산화물 층은 기판으로부터 에칭되었다. 그 후 기판은 증착 챔버로 이송되었고, 붕소-도핑된 실리콘-게르마늄 에피택셜 층이 대략적으로 섭씨 700도에서 그 위에 성장되었다. 실리콘-게르마늄 에피택셜 층은 약 22.0 퍼센트의 게르마늄을 함유하였다. 입방 센티미터당 염소, 질소, 불소, 탄소, 산소 및 붕소 원자들의 농도는 도시된 바와 같고, 이는 2차 이온 질량 분광분석법에 의해 측정된 것이다.
실리콘 기판 및 에피택셜 층 내의 염소 및 불소 원자들의 농도는 입방 센티미터당 약 3×1015개의 원자들의 평균 농도를 갖는다. 실리콘 기판과 에피택셜 층 사이의 경계면(A)에서, 염소 원자 농도는 입방 센티미터당 약 6×1015개의 원자들이고, 불소 원자 농도는 입방 센티미터당 약 2×1015개의 원자들이다. 실리콘 기판 및 에피택셜 층 내의 질소의 농도는 입방 센티미터당 약 1×1016개의 원자들이다. 경계면에서의 질소의 농도는 입방 센티미터당 약 2×1016개의 원자들이다. 경계면 근처에서 실리콘 기판 및 에피택셜 층 내의 탄소의 농도는 입방 센티미터당 약 1×1017개의 원자들이고, 이는 대략적으로 경계면(A)에서의 탄소의 농도와 같다.
실리콘 기판 내의 산소의 농도는 입방 센티미터당 약 5×1017개의 원자들이고, 이는 대략적으로 경계면(A)에서의 산소의 농도와 같다. 에피택셜 층은 입방 센티미터당 약 4×1019개의 원자들의 붕소 농도로 도핑되지만, 실리콘 기판 내의 붕소 농도는, 붕소-도핑된 에피택셜 층으로부터 실리콘 기판으로의 확산에 기인하여 점점 적어지는 붕소 농도를 갖는다. 도 5b와 도 5a를 비교하면, 도 5b에는 도 5a의 경계면(A)에서 발견되는 원자 농도 피크들이 없다. 기판의 상부의 희생적인 산화는, 경계면(A)에서의 경계면 오염에 기여하는 보다 많은 양의 원자들을 제거하는데 도움이 된다고 여겨진다. 따라서, 기판의 상부의 산화 및 증가된 두께의 산화물 층의 제거에 의해, 더 적은 경계면 오염을 갖는 더 깨끗한 기판 표면을 갖게 되고, 이는 도 5b에 도시된 바와 같다.
도 5c에서, 자연 산화물 층을 위에 구비하는 실리콘 기판은 이온화된 활성 라디칼 에칭을 이용하여 세정되었다. 이온화된 활성 라디칼 에칭 이전에, 실리콘 기판의 상부는 산화 챔버에서 산화 플라즈마에 노출됨으로써 상온에서 산화되었다. 자연 산화물 층의 두께가 약 15 옹스트롬의 깊이까지 증가되도록 기판의 상부가 산화되었다. 기판은 세정 챔버로 이송되었고, 산화물 층은 기판으로부터 에칭되었다. 그 후 기판은 증착 챔버로 이송되었고, 붕소-도핑된 실리콘-게르마늄 에피택셜 층이 대략적으로 섭씨 700도에서 그 위에 성장되었다. 실리콘-게르마늄 에피택셜 층은 약 20.9 퍼센트의 게르마늄을 함유하였다. 입방 센티미터당 염소, 질소, 불소, 탄소, 산소 및 붕소 원자들의 농도는 도시된 바와 같고, 이는 2차 이온 질량 분광분석법에 의해 측정된 것이다.
실리콘 기판 및 에피택셜 층의 염소 원자들의 농도는 입방 센티미터당 약 7×1015개의 원자들이고, 이는 대략적으로 경계면(A)에서의 염소 원자들의 농도와 같다. 실리콘 기판 및 에피택셜 층 내의 질소 및 불소 원자들의 농도는 입방 센티미터당 약 7×1016개의 원자들이고, 이는 대략적으로 경계면(A)에서의 질소 및 불소 원자들의 농도와 같다. 실리콘 기판 및 에피택셜 층 내의 탄소의 농도는 입방 센티미터당 약 2×1017개의 원자들이다. 경계면(A)에서의 탄소의 농도는 입방 센티미터당 약 3×1017개의 원자들이다.
실리콘 기판 내의 산소의 농도는 입방 센티미터당 약 8×1017개의 원자들이다. 에피택셜 층 내의 산소의 농도는 입방 센티미터당 약 4×1017개의 원자들이다. 경계면(A)에서의 산소의 농도는 입방 센티미터당 약 7×1017개의 원자들이다. 에피택셜 층은 입방 센티미터당 약 5×1019개의 원자들의 붕소 농도로 도핑되지만, 실리콘 기판 내의 붕소 농도는, 붕소-도핑된 에피택셜 층으로부터 실리콘 기판으로의 확산에 기인하여 점점 적어지는 붕소 농도를 갖는다.
도 5c에 도시된 것처럼, 경계면 오염은 산화물 층의 제거 이전에 기판 상의 기존 산화물 층을 증가된 깊이로 더 산화시킴으로써 감소될 수 있다. 기존 산화물 층 아래의 기판 표면의 부분을 희생적으로 산화시킴으로써, 기존 산화물 층 및 기판의 산화된 상부면이 세정 공정에서 제거될 수 있어, 기판 표면으로부터 더 많은 양의 오염물들을 제거하게 된다. 전술한 바와 같이, 비교적 높은 농도의 오염물들이 기판/산화물 층 경계면에서 발견될 수 있다. 산화물 층 두께의 증가는, 세정 공정 동안 기판으로부터 제거될 재료의 양을 증가시킨다. 바람직하게는, 산화물 층 두께가 경계면 오염을 포괄하도록 충분히 증가되고, 그 후 이러한 경계면 오염은 산화물 층이 기판 표면으로부터 제거될 때 산화물 층과 함께 제거된다. 도 5b와 마찬가지로, 산화물 제거 이전에 기판의 상부를 희생적으로 산화시키는 것에 의해 산화물 층이 추가적으로 산화됨으로써 경계면 오염이 감소된다. 경계면 오염의 감소는 도 5c에서, 경계면(A)에서의 비교적 평탄한 원자 농도들, 예컨대 경계면에 농도 피크들이 없는 것으로 표현되어 있다.
본 발명의 장점들은, 증착 공정들 이전에 기판 표면들로부터 오염물들의 제거가 증가되는 것을 포함한다. 오염물들의 제거가 증가되면, 이후의 공정들에서 이용되도록 기판 표면이 더 깨끗해질 수 있게 된다. 산화물 층을 제거하기 전에 기판 표면에 존재하는 산화물 층의 두께를 증가시킴으로써, 보다 많은 양의 재료가 기판 표면으로부터 제거된다. 제거되는 재료의 추가적인 양은, 그렇지 않으면 기존 세정 공정들에서 제거되지 않을 수도 있는 경계면 오염을 제거하는데 도움이 된다. 경계면 오염의 감소는, 보다 균일하고 높은 품질의 에피택셜 층들이 기판들 상에 성장 또는 형성될 수 있게 하고, 이는 제조되는 최종 디바이스의 전체 품질을 향상시킨다.
상기 내용은 본 발명의 실시예들로 지향되지만, 본 발명의 범위를 벗어나지 않고 본 발명의 다른 실시예들 및 추가적인 실시예들이 고안될 수 있고, 본 발명의 범위는 이후의 청구 범위에 의해 결정된다.

Claims (15)

  1. 기판 표면을 세정하는 방법으로서,
    챔버에 기판을 위치시키는 단계 ― 상기 기판은 위에 산화물 층을 갖고, 상기 산화물 층은 제1 두께를 가짐 ―;
    상기 기판을 산화원(oxidizing source)에 노출시킴으로써 상기 산화물 층의 두께를 제2 두께로 증가시키는 단계;
    상기 기판으로부터 상기 산화물 층을 제거하는 단계; 및
    상기 산화물 층의 제거 이후에 상기 기판 상에 재료 층을 증착하는 단계
    를 포함하는, 기판 표면을 세정하는 방법.
  2. 제1항에 있어서,
    상기 산화원은 산소, 오존, 및 증기로 이루어진 그룹에서 선택되는, 기판 표면을 세정하는 방법.
  3. 제2항에 있어서,
    상기 산화물 층의 제거에 앞서 상기 기판을 제2 챔버에 위치시키는 단계를 더 포함하는, 기판 표면을 세정하는 방법.
  4. 제3항에 있어서,
    상기 재료 층은 에피택셜 층이고, 상기 기판은 단결정 실리콘을 포함하며, 상기 산화물 층은 이산화 규소를 포함하는, 기판 표면을 세정하는 방법.
  5. 제4항에 있어서,
    상기 산화물 층을 제거하는 단계는 상기 기판의 표면으로부터 오염물을 제거하는 단계를 더 포함하고, 상기 산화물 층은 열 공정, 건식 에칭 공정, 또는 습식 에칭 공정을 이용하여 제거되는, 기판 표면을 세정하는 방법.
  6. 제5항에 있어서,
    상기 제2 두께는 약 25 옹스트롬 또는 그 초과인, 기판 표면을 세정하는 방법.
  7. 제1항에 있어서,
    상기 산화물 층의 두께를 증가시키는 단계는 약 1100℃ 미만의 기판 온도에서 발생하는, 기판 표면을 세정하는 방법.
  8. 제7항에 있어서,
    상기 산화물 층의 두께를 증가시키는 단계는 약 625℃ 내지 약 900℃ 범위 내의 기판 온도에서 발생하는, 기판 표면을 세정하는 방법.
  9. 제7항에 있어서,
    상기 산화물 층의 두께를 증가시키는 단계는 약 25℃의 기판 온도에서 발생하는, 기판 표면을 세정하는 방법.
  10. 기판 표면을 세정하는 방법으로서,
    위에 산화물 층을 갖는 기판을 제1 챔버에 위치시키는 단계 ― 상기 기판은 상기 기판과 상기 산화물 층 사이의 경계면에 오염물을 가짐 ―;
    상기 기판의 상부를 산화시키고 상기 산화물 층을 상기 오염물 아래의 깊이까지 연장하기 위해, 상기 기판을 산화원에 노출시킴으로써 상기 산화물 층의 두께를 증가시키는 단계; 및
    상기 산화물 층 및 상기 오염물을 상기 기판으로부터 제거하는 단계
    를 포함하는, 기판 표면을 세정하는 방법.
  11. 제10항에 있어서,
    상기 기판은 단결정 실리콘을 포함하며, 상기 산화물 층은 이산화 규소를 포함하는, 기판 표면을 세정하는 방법.
  12. 제10항에 있어서,
    상기 산화물 층은 열 공정, 건식 에칭 공정, 또는 습식 에칭 공정을 이용하여 제거되고, 상기 산화원은 산소, 오존, 또는 증기를 포함하는, 기판 표면을 세정하는 방법.
  13. 제10항에 있어서,
    상기 산화물 층을 제거한 이후에 상기 기판 상에 실리콘 게르마늄 에피택셜 층을 증착하는 단계를 더 포함하는, 기판 표면을 세정하는 방법.
  14. 기판 표면을 세정하는 방법으로서,
    기판을 제1 챔버에 위치시키는 단계 ― 상기 기판은 위에 자연 산화물 층을 갖는 단결정 실리콘을 포함하고, 상기 자연 산화물 층은 제1 두께를 가짐 ―;
    산소, 오존, 및 증기로 이루어진 그룹에서 선택되는 산화원에 상기 기판을 노출시킴으로써 상기 자연 산화물 층의 두께를 증가시키는 단계;
    상기 기판을 제2 챔버에 위치시키는 단계;
    열 공정 중에 상기 기판으로부터 상기 산화물 층을 제거하는 단계; 및
    상기 기판 상에 에피택셜 층을 형성하는 단계
    를 포함하는, 기판 표면을 세정하는 방법.
  15. 제14항에 있어서,
    상기 산화물 층을 제거하는 단계는 상기 기판의 표면으로부터 오염물을 제거하는 단계를 더 포함하는, 기판 표면을 세정하는 방법.
KR1020137005360A 2010-08-04 2011-07-06 기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법 KR20130092574A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US37072210P 2010-08-04 2010-08-04
US61/370,722 2010-08-04
PCT/US2011/043073 WO2012018473A2 (en) 2010-08-04 2011-07-06 Method of removing contaminants and native oxides from a substrate surface

Publications (1)

Publication Number Publication Date
KR20130092574A true KR20130092574A (ko) 2013-08-20

Family

ID=45556449

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137005360A KR20130092574A (ko) 2010-08-04 2011-07-06 기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법

Country Status (6)

Country Link
US (1) US8728944B2 (ko)
JP (1) JP2013541178A (ko)
KR (1) KR20130092574A (ko)
CN (1) CN103098177A (ko)
TW (1) TWI494977B (ko)
WO (1) WO2012018473A2 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI588910B (zh) * 2011-11-30 2017-06-21 半導體能源研究所股份有限公司 半導體裝置的製造方法
CN103633030A (zh) * 2012-08-22 2014-03-12 上海华虹宏力半导体制造有限公司 改善sonos闪存器件可靠性的面内均一性的方法
WO2015020792A1 (en) 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
CN104979209A (zh) * 2014-04-09 2015-10-14 中芯国际集成电路制造(上海)有限公司 一种FinFET器件的制造方法
CN106033709B (zh) * 2015-03-13 2019-11-22 比亚迪股份有限公司 一种酸洗蚀刻方法及清洗机
CN107636197B (zh) * 2015-06-05 2020-01-07 应用材料公司 赋予掺杂硼的碳膜静电夹持及极佳颗粒性能的渐变原位电荷捕捉层
KR20180100044A (ko) * 2015-08-17 2018-09-06 온토스 이큅먼트 시스템즈 상압 플라즈마 처리 단계들을 이용한 에피택셜 성장
DE112016005550T5 (de) 2015-12-04 2018-08-16 Applied Materials, Inc. Verfahren und Lösungen zur Reinigung von Ingaas (Oder III-V) Substraten
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
US20240018647A1 (en) * 2022-07-13 2024-01-18 Applied Materials, Inc. Oxidation barriers with cvd soak processes

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3969164A (en) 1974-09-16 1976-07-13 Bell Telephone Laboratories, Incorporated Native oxide technique for preparing clean substrate surfaces
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
JPH01319944A (ja) * 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
GB9012533D0 (en) 1990-06-05 1990-07-25 Johnson Matthey Plc Glass composition
JPH04257225A (ja) * 1991-02-12 1992-09-11 Oki Electric Ind Co Ltd 絶縁膜形成方法
JPH0536653A (ja) * 1991-07-25 1993-02-12 Toshiba Corp 基板表面処理方法
US5674357A (en) 1995-08-30 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate cleaning process
JP3811809B2 (ja) * 1995-10-06 2006-08-23 光洋サーモシステム株式会社 半導体基板の表面処理方法
US6319331B1 (en) 1997-12-01 2001-11-20 Mitsubishi Denki Kabushiki Kaisha Method for processing semiconductor substrate
US6475927B1 (en) * 1998-02-02 2002-11-05 Micron Technology, Inc. Method of forming a semiconductor device
KR100399352B1 (ko) * 2001-04-07 2003-09-26 삼성전자주식회사 선택적 결정 성장을 이용한 반도체 장치 제조 방법
US20040038438A1 (en) * 2002-08-23 2004-02-26 Toppoly Optoelectronics Corp. Method for reducing surface roughness of polysilicon films for liquid crystal displays
KR100672933B1 (ko) * 2003-06-04 2007-01-23 삼성전자주식회사 세정 용액 및 이를 이용한 반도체 소자의 세정 방법
JP3761546B2 (ja) * 2003-08-19 2006-03-29 株式会社Neomax SiC単結晶基板の製造方法
KR100593736B1 (ko) * 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
US20060057853A1 (en) * 2004-09-15 2006-03-16 Manoj Mehrotra Thermal oxidation for improved silicide formation
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP5379441B2 (ja) * 2008-10-09 2013-12-25 関東化学株式会社 基板処理用アルカリ性水溶液組成物

Also Published As

Publication number Publication date
WO2012018473A3 (en) 2012-04-19
US8728944B2 (en) 2014-05-20
US20120034761A1 (en) 2012-02-09
JP2013541178A (ja) 2013-11-07
CN103098177A (zh) 2013-05-08
TW201207918A (en) 2012-02-16
TWI494977B (zh) 2015-08-01
WO2012018473A2 (en) 2012-02-09

Similar Documents

Publication Publication Date Title
KR20130092574A (ko) 기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법
TWI745390B (zh) 減少晶圓釋氣的整合方法
US10861693B2 (en) Cleaning method
US10428441B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
KR102632203B1 (ko) 반도체 응용들을 위한 나노와이어들을 제조하기 위한 선택적 산화
US11087979B2 (en) Cleaning method
US8501605B2 (en) Methods and apparatus for conformal doping
US20060156970A1 (en) Methods for in-situ cleaning of semiconductor substrates and methods of semiconductor device fabrication employing the same
US10043890B2 (en) Method of forming spacers for a gate of a transistor
TW201218255A (en) Integrated platform for in-situ doping and activation of substrates
JP5605005B2 (ja) 炭化珪素半導体装置の製造方法および炭化珪素半導体装置の製造装置
WO2010117703A2 (en) Method of selective nitridation
KR102312122B1 (ko) 반도체 프로세스를 위한 통합 시스템
JP2012004275A (ja) 炭化珪素半導体装置の製造方法
TW202331931A (zh) 用於在基板中形成溝槽結構的方法
TW202332050A (zh) 用於近表面功函數工程的方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right