TWI494977B - 由基板表面移除污染物與原生氧化物之方法 - Google Patents

由基板表面移除污染物與原生氧化物之方法 Download PDF

Info

Publication number
TWI494977B
TWI494977B TW100124665A TW100124665A TWI494977B TW I494977 B TWI494977 B TW I494977B TW 100124665 A TW100124665 A TW 100124665A TW 100124665 A TW100124665 A TW 100124665A TW I494977 B TWI494977 B TW I494977B
Authority
TW
Taiwan
Prior art keywords
substrate
oxide layer
thickness
layer
chamber
Prior art date
Application number
TW100124665A
Other languages
English (en)
Other versions
TW201207918A (en
Inventor
Satheesh Kuppurao
Manish Hemkar
Vinh Tran
Yihwan Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201207918A publication Critical patent/TW201207918A/zh
Application granted granted Critical
Publication of TWI494977B publication Critical patent/TWI494977B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Recrystallisation Techniques (AREA)

Description

由基板表面移除污染物與原生氧化物之方法
本發明之實施例一般關於由半導體基板表面移除原生氧化物和污染物。
積體電路係形成於矽及其他半導體基板之中及之上。於單晶矽之例子中,基板係藉由從熔融矽之浴生成單晶塊,並接著將固化單晶塊鋸成複數個晶圓而製成。磊晶矽層可接著形成於單晶矽晶圓上,以形成可掺雜或未摻雜之無瑕疵矽層。半導體裝置(如,電晶體)係由磊晶矽層而製造。所形成之磊晶矽層的電特性一般將較單晶矽基板的特性為佳。
當單晶矽和磊晶矽層之表面曝露至典型周圍環境之條件時,單晶矽和磊晶矽層之表面易受到污染。舉例來說,於沉積磊晶層之前,原生氧化層可形成於單晶矽表面上。此外,呈現於周遭環境之污染物可能沉積於單晶矽表面上。原生氧化物或污染物呈現於單晶矽表面上對接著形成於單晶矽上之磊晶層的品質產生不利地影響。儘管目前的清潔方法由單晶矽表面移除一些原生氧化物和污染物,仍存在一些污染物。
因此,需要更有效率之方法,以從基板表面移除原生氧化物和污染物。
本發明之實施例一般關於由基板表面移除污染物和原生氧化物之方法。該方法一般包含曝露基板至氧化來源,該基板具有氧化層於基板上。氧化來源氧化位於氧化層下方之基板的上部,以形成具有增加厚度之氧化層。具有增加厚度之氧化層接著移除以曝露基板之乾淨表面。移除氧化層一般包含移除呈現於氧化層之中和之上的污染物,特別是那些呈現於氧化層和基板之界面處的污染物。磊晶層可接著形成於基板之乾淨表面上。
於一實施例中,一種清潔一基板表面之方法包括放置一基板於一腔室中。該基板具有一氧化層於該基板上,該氧化層具有一第一厚度。藉由曝露該基板至一氧化來源而增加該氧化層之厚度至一第二厚度。從該基板移除該氧化層,及於移除該氧化層後,沉積一材料層於該基板上。
於另一實施例中,一種清潔一基板表面之方法包括放置一基板於一第一腔室中,該基板具有一氧化層於該基板上。該基板具有一污染物於該基板和該氧化層間之界面處。藉由曝露該基板至一氧化來源以氧化該基板之上部並延伸該氧化層至該污染物下之一深度而增加該氧化層之厚度。從該基板移除該氧化層和該污染物。
於另一實施例中,一種清潔一基板表面之方法包括放置一基板於一第一腔室中。該基板包括單晶矽,該單晶矽具有一原生氧化層於該基板上。該原生氧化層具有一第一厚度。藉由曝露該基板至一氧化來源而增加該原生氧化層之厚度,該氧化來源係選自氧、臭氧及蒸氣所組成之群組。放置該基板於一第二腔室中,及於一熱製程期間從該基板移除該氧化層。接著形成一磊晶層於該基板上。
本發明之實施例一般關於由基板表面移除污染物和原生氧化物之方法。方法一般包含曝露基板至氧化來源,該基板具有氧化層於基板上。氧化來源氧化位於氧化層下方之基板的上部,以形成具有增加厚度之氧化層。具有增加厚度之氧化層接著移除以曝露基板之乾淨表面。移除氧化層一般包含移除呈現於氧化層之中和之上的污染物,特別是那些呈現於氧化層和基板之界面處的污染物。磊晶層可接著形成於基板之乾淨表面上。
本發明之實施例可有益地實行於半導體清潔腔室中,如SiCoNiTM 預清潔腔室,可由美國加州之應用材料公司取得。亦可使用可從其他製造商取得之腔室以執行於此所述之實施例。
第1圖顯示用於半導體製程之群集工具100的平面圖。群集工具100係包含複數個腔室之模組系統,該等腔室於半導體製造製程中執行各種功能。群集工具100包括經由一對負載鎖定腔室105而連接至前端環境104之中央移送腔室107。工廠界面機器人108設置於前端環境104中,並構成以於負載鎖定腔室105和安裝於前段環境104之複數個艙103間置換基板。控制器102耦接至中央移送腔室107。控制器102包含電腦可讀媒體且適於執行用以依據於此所述之方法處理基板之一或多個程式。
複數個腔室101A-D係安裝至中央移送腔室以執行所欲製程。設置於中央移送腔室107之中央機器人106係構成以於負載鎖定腔室105和複數個腔室101A-D間,或於複數個腔室101A-D間移送基板。複數個腔室101A-D可包括一或多個清潔腔室、佈植腔室、退火腔室、蝕刻腔室或沉積腔室之任何組合。舉例來說,腔室101A和101B可為清潔腔室,而腔室101C和101D可為適於生成或沉積磊晶層於半導體基板上之沉積腔室。於一實施例中,與一氧化來源耦接之第一腔室、適於執行乾蝕刻製程之第二清潔腔室和磊晶沉積腔室耦接至中央移送腔室107。
第2圖為清潔腔室之概要截面圖。腔室201可實際上有益於執行熱或以電漿為基礎之氧化製程及/或以電漿輔助之乾蝕刻製程。腔室201包含腔室主體212、蓋組件214及支撐組件216。蓋組件214係設置於腔室主體212之上端,且支撐組件216至少部分設置於腔室主體212內。可使用真空系統以從腔室201移除氣體。真空系統包含耦接至真空埠221之真空泵218,該真空埠221係設置於腔室主體212中。
蓋組件214包含至少兩個堆疊之部件,該等堆疊之部件係構成以於該等堆疊之部件間形成電漿容積或腔。第一電極220係垂直地設置於第二電極222上,以限制出電漿容積。第一電極220連接至一功率源224(如,射頻(RF)功率源),且第二電極222係連接至地面或來源回歸,形成於第一電極220和第二電極222間之電容。蓋組件214亦包含一或多個用以經由阻隔板228和氣體分配板230而提供清潔氣體至基板表面之氣體入口226。清潔氣體可為蝕刻劑或離子化活性基(如,離子化氟或氯)或氧化劑(如,臭氧)。此外,腔室201包含用以於腔室201內控制製程之控制器202。
支撐組件216可包含用以於製程期間支撐基板210於基板支撐232上的基板支撐件232。基板支撐232可藉由軸236而耦接至致動器234,軸236經由形成於腔室主體212之底面中的中央位置開口而延伸。致動器234可藉由波紋管(bellows)(圖未示)而撓性地密封至腔室主體212,該波紋管繞軸236而防止真空洩漏。致動器234允許基板支撐件232於腔室主體212內,於製程位置和較低之移送位置間垂直地移動。移送位置係位於形成於腔室主體212之側壁中的流量閥之開口的略下方。
基板支撐件232具有平的或實質上平的表面,該表面用以支撐待處理之基板於表面上。基板支撐件232可藉由致動器234(致動器234藉由軸236而耦接至基板支撐件232)之而於腔室主體212內垂直移動。於操作時,基板支撐件232可舉升至接近鄰近蓋組件214之位置以控制待處理基板210之溫度。因此,基板210可經由從分配板230之散發輻射或對流而加熱。
第3A-3D圖為依據一實施例之半導體基板的概圖。第3A圖顯示基板310,該基板310具有氧化層352A設置於該基板310上,該氧化層352A具有第一厚度T1 。典型的基板包含矽、鍺和III-V族成分(如,砷化鎵、磷化鎵和氮化鎵)。於一實施例中,基板310可為半導體基板(如,矽),且氧化層352A可為原生氧化層(如,二氧化矽)。基板310亦可包含不純物或污染物354A。一般而言,當基板310於製程設備間移送時,或當基板310被移送至製程腔室(該製程腔室具有污染物於該製程腔室中)時,污染物354A係沉積於基板310之表面上。然而,污染物354A亦可於其他位置及以其他方式而引入至基板310。於此所使用之污染物可包含任何非所欲的材料(如,顆粒物或原子),該非所欲的材料可能不利地或非所欲地影響基板製程。舉例來說,污染物354A可包含硼、氦、氟或氯原子,這些原子環繞基板310而呈現於大氣中且於處理前非所欲地埋入於基板310中。污染物354A可接觸基板310之表面或氧化層352A並擴散或埋入至氧化層352A內。附加地或替代地,污染物354A可接觸基板310的表面且氧化層352A可環繞污染物354A及/或位於污染物354A上而形成。污染物可位於氧化層352A內,於基板/氧化層界面356A,或甚至位於界面356A之略下方,並部分地埋入於基板310中。
於第3B圖中,基板310具有氧化層352B於該基板310上,氧化層352B具有第二厚度T2 。氧化層352A之厚度向下延伸至第二界面358b,因此形成具有厚度T2 之氧化層352B。於第3B圖所示之實施例中,基板310已曝露至氧化來源(如,氧化氣體)以氧化於第一界面356B和第二界面358B間之基板310的額外材料。藉由曝露基板310之上部至氧化氣體而犧牲地氧化基板310之上部,基板/氧化層界面從第一界面356B延伸或移動至第二界面358B。
第一界面356B代表於氧化基板310之上部前於基板310和氧化層352A間的界面。第二界面358B代表氧化層352B和下方(未氧化)基板表面間的界面。應理解者,氧化層352B與具有增加厚度之氧化層352A相同。為說明之目的,氧化層352B將可視為當基板310之上部氧化時形成。然而,氧化層352B的形成係相當於增加氧化層352A之厚度。因此,氧化層352B並非加上氧化層352A之獨立層,而是氧化層352B代表具有增加深度或厚度之氧化層352A。
藉由增加或延伸氧化層352A至第二界面358B以形成氧化層352B,氧化層352B包覆基板310之上表面,包含會包覆污染物354A。因此,氧化層352B包含發現於第一界面356B之污染物354A,和於形成氧化層352B前發現於基板310之上表面之最先幾埃內的污染物。氧化層352B一般具有約8埃或更多的厚度T2 (如,約8埃至約25埃)。較佳地,氧化層352B具有約15埃至約25埃(如,約15埃)之厚度T2 。於一實施例中,氧化層352B可具有約25埃之厚度T2 。當處理多個基板時(如於第1圖之群集工具中),複數個基板表面從第一界面356B至第二界面358B之氧化可使用一套製程配方而一致地複製。
用於增加氧化層352A厚度以形成具有厚度T2 之氧化層352B的合適氧化來源包含氧、臭氧、原位或非原位蒸氣或其他不會引進額外污染物至基板310之表面的氧化劑。可採用複數個氧化方法和腔室以藉由犧牲地氧化基板310之上部而形成氧化層352B。舉例來說,可使用可由美國加州應用材料公司取得之Applied RadoxTM RTP腔室以於熱環境中使用氧自由基而形成氧化層352B。在增加氧化層352A之厚度至第二界面358B時,基板310可同時被加熱至低於約攝氏1100度之溫度,因此形成氧化層352B。於一實施例中,基板310之溫度可介於約攝氏625度和攝氏900度之間。
基板310之上部亦可使用低溫氧化製程(如解離電漿氧化製程)而被氧化以形成氧化層352B。解離電漿氧化製程使用離子化氣體或電漿以增加氧化層352A之厚度。解離電漿氧化製程可於執行時維持基板310於約攝氏25度。較佳地,使用低溫製程氧化基板310,使得基板310之總熱預算減少。將基板310曝露至高溫製程於延長時段(高熱預算)可導致對基板310的損害並降低最終裝置效能。因此,若可採用時,較佳地使用低溫製程以減少總熱預算。
於第3C圖中,氧化層352B已由基板310移除。既然氧化層352B延伸至第二界面358B,當氧化層352B移除時,第二介面358B上之污染物亦移除。若氧化層352A之厚度並未延伸至第二界面358B以形成氧化層352B,位於第一介面356B和第二界面358B間的的污染物在移除氧化層352A後,仍殘留於基板310上。因此,更多的污染物可藉由將現存之氧化層從厚度T1 氧化至增厚厚度T2 且接著移除氧化層而從基板310之表面移除。相對地,若氧化層352A之厚度未增加,大量的污染物將於移除氧化層352A後殘留於基板310上。既然呈現於基板310之犧牲上部中的污染物亦被移除,移除具有厚度T2 的氧化層352B使得基板表面357C具有實質較少的污染物於基板表面357C上。污染物之減少改善後續沉積薄膜的品質和沉積率及總體最終裝置效能。
於第3D圖中,磊晶層360D接著沉積於基板310之基板表面357C上,於磊晶層360D和基板表面357C之間產生界面。基板表面357C具有較少數量之污染物,且為實質無氧化物。既然基板表面347C具有較少數量之污染物於基板表面347C上,磊晶層360D可較容易地及較均勻地生成或沉積於基板310上。於一實施例中,磊晶層360D為矽磊晶層、矽鍺磊晶層或矽碳磊晶層。於另一實施例中,磊晶層包括摻質(如硼或磷)。
第4圖為用於清潔半導體基板之方法的流程圖。於操作472中,具有氧化層於基板上之基板係置於基板清潔腔室中。於一實施例中,清潔腔室為未與其他製程腔室分享中央移送腔室之獨立腔室。於操作474中,氧化層之厚度藉由於清潔腔室內曝露基板至氧化來源,並氧化位於氧化層下方之基板的上部而增加。設置於基板上之氧化層的厚度藉由犧牲地氧化接近現存氧化層下方之基板的上部而增加。較佳地,氧化層的厚度增加至超過可於第一基板/氧化層界面所發現之大部分污染物之位置處。
於操作476中,具有增加厚度之氧化層從基板的表面而移除。氧化層可以於操作472中所使用之相同基板清潔腔室而移除,或基板可被移送至另一腔室以移除氧化層。於一實施例中,基板可移送至包含一或兩個清潔腔室和磊晶沉積腔室之群集工具。當氧化層移除時,發現於氧化層中之大部分污染物,和於第一基板/氧化層界面(於增加氧化層厚度前之界面)處之任何污染物被移除,直到第二基板/氧化層界面(於增加氧化層厚度後之界面)之深度。因此,基板表面之上部可犧牲地氧化至足以移除所欲數量之污染物的深度。相較當氧化層之厚度未增加時,移除氧化層和污染物產生乾淨的基板表面,該基板表面用於後續地沉積材料層於基板表面上。於操作478中,基板移送至沉積腔室,且磊晶層生成或形成於氧化層與污染物已被移除之基板表面上。
第5A-5C圖為說明矽基板之界面污染物和形成於該矽基板上之磊晶層的圖。於第5A圖中,具有原生氧化物於矽基板上之矽基板使用離子活性基蝕刻而清潔。接著,矽層磊晶層於約攝氏700度時生成於矽基板上。鍺之急遽下降指出介於矽基板和磊晶層間的界面A。於界面A處,包含約22.2%之鍺的矽鍺磊晶層於矽基板上生成。每立方公分之氯、氮、氟、碳、氧和硼原子的濃度藉由以二次離子質譜儀所測量而顯示。
氯原子於矽基板中和於磊晶層中之濃度係約每立方公分5x1015 個原子。然而,於介於矽基板和磊晶層間之界面A處,氯原子濃度為約每立方公分1x1018 個原子。於矽基板內和磊晶層內之氮和氟的濃度為約每立方公分6x1016 個原子。於界面處,氮和氟之濃度為約每立方公分1x1017 個原子。於矽基板內和磊晶層內之碳的濃度為約每立方公分2x1017 個原子,而於界面A處之碳的濃度為約每立方公分8x1017 個原子。
於矽基板內之氧的濃度為約每立方公分5x1017 個原子,而於磊晶層中之氧的濃度為約每立方公分3x1017 個原子。於界面A處,氧的濃度為約每立方公分1x1019 個原子。磊晶層已被摻雜成硼濃度為約每立方公分5x1019 個原子,而因由摻雜之磊晶層擴散至矽基板的緣故,於矽基板內之硼濃度具有漸減的硼濃度。對所示之原子而言,每一原子之界面濃度較大於矽基板內或磊晶層內之濃度,如於界面A之濃度峰值所示。
於第5B圖中,具有原生氧化物於矽基板上之矽基板使用離子活性基蝕刻而清潔。於離子活性基蝕刻前,矽基板被增加至約攝氏650度之溫度,並於可由美國加州應用材料公司取得之Applied RadoxTM RTP腔室中曝露至氧自由基。原生氧化層的厚度增加至約15埃。基板被移送至清潔腔室,且氧化層從基板蝕刻。基板接著移送至沉積腔室,且硼摻雜之矽鍺磊晶層於約攝氏700度時生成於基板上。矽鍺磊晶層包含約22.0%的鍺。每立方公分之氯、氮、氟、碳、氧及硼原子的濃度藉由以二次離子質譜儀所測量而顯示。
氯和氟原子於矽基板中和於磊晶層中之濃度具有約每立方公分3x1015 個原子之平均濃度。於介於矽基板和磊晶層間之界面A處,氯原子濃度為約每立方公分6x1015 個原子,且氟原子濃度為約每立方公分2x1015 個原子。於矽基板內和磊晶層內之氮的濃度為約每立方公分1x1016 個原子。氮的濃度於界面處為約每立方公分2x1016 個原子。於矽基板內和於磊晶層內靠近界面處之碳的濃度為約每立方公分1x1017 個原子,該濃度約與於界面A處之碳濃度相等。
於矽基板內之氧的濃度為約每立方公分5x1017 個原子,該濃度約與於界面A處之氧濃度相等。磊晶層已被摻雜成硼濃度為約每立方公分4x1019 個原子,而因由摻雜之磊晶層擴散至矽基板的緣故,於矽基板內之硼濃度具有漸減的硼濃度。將第5B圖與第5A圖比較,第5B圖缺少於第5圖之界面A處所發現之原子濃度峰值。咸信,基板之上部的犧牲氧化幫助於界面A處移除大量的原子,這些原子導致於界面A處的界面污染。因此,基板之上部的氧化及增加厚度之氧化層的移除導致具有較少界面污染的乾淨基板表面,如第5B圖中所示。
於第5C圖中,具有原生氧化物於矽基板上之矽基板使用離子活性基蝕刻而清潔。於離子活性基蝕刻前,矽基板之上部於室溫時藉由於氧化腔室中曝露至氧化電漿而氧化。基板之上部被氧化以將原生氧化層之厚度增加至約15埃的深度。基板被移送至清潔腔室,且氧化層從基板蝕刻。基板接著移送至沉積腔室,且硼摻雜之矽鍺磊晶層於約攝氏700度時生成於基板上。矽鍺磊晶層包含約20.9%的鍺。每立方公分之氯、氯、氟、碳、氧及硼原子的濃度藉由以二次離子質譜儀所測量而顯示。
氯原子於矽基板中和於磊晶層中之濃度具有約每立方公分7x1015 個原子,該濃度約與於界面A處之氯原子濃度相等。於矽基板內和磊晶層內之氯和氟原子的濃度為約每立方公分7x1016 個原子,該濃度約與於界面A處之氯和氟原子濃度相等。於矽基板內和磊晶層內之碳的濃度為約每立方公分2x1017 個原子。於界面A處之碳原子的濃度為約每立方公分3x1017 個原子。
於矽基板內之氧的濃度為約每立方公分8x1017 個原子。於磊晶層內之氧的濃度為約每立方公分4x1017 個原子。於界面A處之氧的濃度為約每立方公分7x1017 個原子。磊晶層已被摻雜成硼濃度為約每立方公分5x1019 個原子,而因由摻雜之磊晶層擴散至矽基板的緣故,於矽基板內之硼濃度具有漸減的硼濃度。
如第5C圖中所示,界面污染可藉由於移除氧化層前,進一步氧化於基板上之現存氧化層至一增加深度而減少。藉由犧牲地氧化於現存氧化層下之基板表面的部分,現存氧化層和氧化之基板的上部表面可於清潔製程中移除,因此從基板之表面移除較多的污染物。如上所解釋,相對高之污染物濃度可於基板/氧化層界面處發現。於氧化層中增加的厚度於清潔製程期間增加待由基板移除之材料數量。較佳地,氧化層厚度增加至足以包圍界面污染的程度,當氧化層從基板表面移除時,界面污染接著與氧化層移除。與第5B圖類似,於移除氧化物前,藉由犧牲地氧化基板之上部而氧化氧化層導致減少的界面污染。界面污染的減少藉由於界面A處的原子濃度之相對程度(如,於界面處缺少濃度峰值)而顯示於第5C圖中。
本發明之優點包含於沉積製程前由基板表面大量移除污染物。大量移除污染物產生使用於後續製程中之乾淨基板表面。藉由於移除氧化層前,增加呈現於基板表面上之氧化層厚度,可由基板表面移除大量的材料。所移除之大量材料幫助移除界面污染,該界面污染於傳統清潔製程中可能不會被移除。界面污染的減少允許更均勻和更高品質的磊晶層生成或形成於基板上,此種結構增加了最終製造裝置的總體品質。
儘管前述部分針對本發明之實施例,本發明之其他或進一步的實施例可設計而不背離本發明之基本範圍,且本發明之範圍係由以下之請求項而決定。
100...群集工具
101A-D...腔室
102...控制器
103...艙
104...前端環境
105...負載鎖定腔室
106...中央機器人
107...腔室
108...工廠界面機器人
201...腔室
202...控制器
210...基板
212...腔室主體
214...蓋組件
216...支撐組件
218...真空泵
220...第一電極
221...真空埠
222...第二電極
224...功率源
226...氣體入口
228...阻隔板
230...分配板
232...基板支撐件
234...致動器
236...軸
310...基板
352A、352B...氧化層
354A...污染物
356A...界面
356B...第一界面
357C...基板表面
358B...第二屆面
360D...磊晶層
472...操作
474...操作
476...操作
478...操作
依本發明於上所列舉之特徵的方式可詳細地了解,本發明之更特別的說明(簡短摘要於發明內容中)可參照實施例(這些實施例的一部分描繪於隨附的圖式中)而獲得。然而,應注意者,隨附的圖式僅說明本發明的典型實施例,且不因此被視為對本發明範圍之限制,因本發明可允許其他等效之實施例。
第1圖顯示用於半導體製程之群集工具的平面圖。
第2圖為清潔腔室之概要截面圖。
第3A-3D圖為依據一實施例之半導體基板的概圖。
第4圖為用於清潔半導體基板之方法的流程圖。
第5A-5C圖為說明矽基板之界面污染物和形成於該矽基板上之磊晶層的圖。
為促進了解,儘可能使用相同的參考符號以指定共用於圖式之相同元件。應考量者,於一實施例中所揭露之元件可有利地使用於其他實施例上而無需贅述。
472...操作
474...操作
476...操作
478...操作

Claims (18)

  1. 一種清潔一基板表面之方法,該方法包括:放置一基板於一腔室中,該基板具有一氧化層於該基板上,該氧化層具有一第一厚度;藉由曝露該基板至一氧化來源而增加該氧化層之厚度至一第二厚度;從該基板移除該氧化層;及於移除該氧化層後,沉積一材料層於該基板上,其中移除該氧化層之步驟更包括從該基板之表面移除一污染物。
  2. 如請求項1所述之方法,其中該氧化來源係選自氧、臭氧及蒸氣所組成之群組。
  3. 如請求項2所述之方法,該方法更包括以下步驟:於移除該氧化層前,放置該基板於一第二腔室中。
  4. 如請求項3所述之方法,其中該材料層為一磊晶層。
  5. 如請求項4所述之方法,其中該基板包括單晶矽,且該氧化層包括二氧化矽。
  6. 如請求項1所述之方法,其中該氧化層係使用一熱製程、一乾蝕刻製程或一濕蝕刻製程而移除。
  7. 如請求項1所述之方法,其中該第二厚度係約8埃或更厚。
  8. 如請求項7所述之方法,其中該第二厚度係約15埃或更厚。
  9. 如請求項8所述之方法,其中該第二厚度係約25埃或更厚。
  10. 如請求項1所述之方法,其中增加該氧化層之厚度之步驟發生於一基板溫度低於約攝氏1100度時。
  11. 如請求項10所述之方法,其中增加該氧化層之厚度之步驟發生於一基板溫度從約攝氏625度至約攝氏900度之範圍內時。
  12. 如請求項10所述之方法,其中增加該氧化層之厚度之步驟發生於一基板溫度約攝氏25度時。
  13. 一種清潔一基板表面之方法,該方法包括:放置一基板於一第一腔室中,該基板具有一氧化 層於該基板上,該基板具有一污染物於該基板和該氧化層間之界面處;藉由曝露該基板至一氧化來源以氧化該基板之上部並延伸該氧化層至該污染物下之一深度而增加該氧化層之厚度;及從該基板移除該氧化層和該污染物。
  14. 如請求項13所述之方法,其中該基板包括單晶矽,且該氧化層包括二氧化矽。
  15. 如請求項13所述之方法,其中該氧化層係使用一熱製程、一乾蝕刻製程或一濕蝕刻製程而移除。
  16. 如請求項15所述之方法,其中該氧化來源包括氧、臭氧或蒸氣。
  17. 如請求項13所述之方法,該方法更包括以下步驟:於移除該氧化層後,沉積一矽鍺磊晶層於該基板上。
  18. 一種清潔一基板表面之方法,該方法包括:放置一基板於一第一腔室中,該基板包括單晶矽,該單晶矽具有一原生氧化層於該基板上,該原生氧化層具有一第一厚度; 藉由曝露該基板至一氧化來源而增加該原生氧化層之厚度,該氧化來源係選自氧、臭氧及蒸氣所組成之群組;放置該基板於一第二腔室中;於一熱製程期間從該基板移除該氧化層;及形成一磊晶層於該基板上,其中移除該氧化層之步驟更包括從該基板之表面移除一污染物。
TW100124665A 2010-08-04 2011-07-12 由基板表面移除污染物與原生氧化物之方法 TWI494977B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US37072210P 2010-08-04 2010-08-04

Publications (2)

Publication Number Publication Date
TW201207918A TW201207918A (en) 2012-02-16
TWI494977B true TWI494977B (zh) 2015-08-01

Family

ID=45556449

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100124665A TWI494977B (zh) 2010-08-04 2011-07-12 由基板表面移除污染物與原生氧化物之方法

Country Status (6)

Country Link
US (1) US8728944B2 (zh)
JP (1) JP2013541178A (zh)
KR (1) KR20130092574A (zh)
CN (1) CN103098177A (zh)
TW (1) TWI494977B (zh)
WO (1) WO2012018473A2 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956929B2 (en) * 2011-11-30 2015-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
CN103633030A (zh) * 2012-08-22 2014-03-12 上海华虹宏力半导体制造有限公司 改善sonos闪存器件可靠性的面内均一性的方法
CN105453233B (zh) 2013-08-09 2019-10-22 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
CN104979209A (zh) * 2014-04-09 2015-10-14 中芯国际集成电路制造(上海)有限公司 一种FinFET器件的制造方法
CN106033709B (zh) * 2015-03-13 2019-11-22 比亚迪股份有限公司 一种酸洗蚀刻方法及清洗机
WO2016195983A1 (en) * 2015-06-05 2016-12-08 Applied Materials, Inc. Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films
US9909232B2 (en) * 2015-08-17 2018-03-06 Ontos Equipment Systems, Inc. Epitaxial growth using atmospheric plasma preparation steps
US9905412B2 (en) 2015-12-04 2018-02-27 Applied Materials, Inc. Method and solution for cleaning InGaAs (or III-V) substrates
US11049719B2 (en) 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
WO2024015181A1 (en) * 2022-07-13 2024-01-18 Applied Materials, Inc. Oxidation barriers with cvd soak processes

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6562707B2 (en) * 2001-04-07 2003-05-13 Samsung Electronics Co., Ltd. Method of forming a semiconductor device using selective epitaxial growth
US20040171236A1 (en) * 2002-08-23 2004-09-02 Toppoly Electronics Corp. Method for reducing surface roughness of polysilicon films for liquid crystal displays
TW200737346A (en) * 2006-03-31 2007-10-01 Tokyo Electron Ltd Sequential oxide removal using fluorine and hydrogen
US7562662B2 (en) * 2003-06-04 2009-07-21 Samsung Electronics Co., Ltd. Cleaning solution and cleaning method of a semiconductor device
US7611973B2 (en) * 2004-06-17 2009-11-03 Samsung Electronics Co., Ltd. Methods of selectively forming epitaxial semiconductor layer on single crystalline semiconductor and semiconductor devices fabricated using the same
TW201014906A (en) * 2008-10-09 2010-04-16 Kanto Kagaku Alkaline aqueous solution composition for treating a substrate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3969164A (en) 1974-09-16 1976-07-13 Bell Telephone Laboratories, Incorporated Native oxide technique for preparing clean substrate surfaces
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
JPH01319944A (ja) * 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
GB9012533D0 (en) 1990-06-05 1990-07-25 Johnson Matthey Plc Glass composition
JPH04257225A (ja) * 1991-02-12 1992-09-11 Oki Electric Ind Co Ltd 絶縁膜形成方法
JPH0536653A (ja) * 1991-07-25 1993-02-12 Toshiba Corp 基板表面処理方法
US5674357A (en) 1995-08-30 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate cleaning process
JP3811809B2 (ja) * 1995-10-06 2006-08-23 光洋サーモシステム株式会社 半導体基板の表面処理方法
US6319331B1 (en) 1997-12-01 2001-11-20 Mitsubishi Denki Kabushiki Kaisha Method for processing semiconductor substrate
US6475927B1 (en) 1998-02-02 2002-11-05 Micron Technology, Inc. Method of forming a semiconductor device
JP3761546B2 (ja) * 2003-08-19 2006-03-29 株式会社Neomax SiC単結晶基板の製造方法
US20060057853A1 (en) 2004-09-15 2006-03-16 Manoj Mehrotra Thermal oxidation for improved silicide formation
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6562707B2 (en) * 2001-04-07 2003-05-13 Samsung Electronics Co., Ltd. Method of forming a semiconductor device using selective epitaxial growth
US20040171236A1 (en) * 2002-08-23 2004-09-02 Toppoly Electronics Corp. Method for reducing surface roughness of polysilicon films for liquid crystal displays
US7562662B2 (en) * 2003-06-04 2009-07-21 Samsung Electronics Co., Ltd. Cleaning solution and cleaning method of a semiconductor device
US7611973B2 (en) * 2004-06-17 2009-11-03 Samsung Electronics Co., Ltd. Methods of selectively forming epitaxial semiconductor layer on single crystalline semiconductor and semiconductor devices fabricated using the same
TW200737346A (en) * 2006-03-31 2007-10-01 Tokyo Electron Ltd Sequential oxide removal using fluorine and hydrogen
TW201014906A (en) * 2008-10-09 2010-04-16 Kanto Kagaku Alkaline aqueous solution composition for treating a substrate

Also Published As

Publication number Publication date
JP2013541178A (ja) 2013-11-07
CN103098177A (zh) 2013-05-08
WO2012018473A3 (en) 2012-04-19
US8728944B2 (en) 2014-05-20
US20120034761A1 (en) 2012-02-09
KR20130092574A (ko) 2013-08-20
WO2012018473A2 (en) 2012-02-09
TW201207918A (en) 2012-02-16

Similar Documents

Publication Publication Date Title
TWI494977B (zh) 由基板表面移除污染物與原生氧化物之方法
KR102655798B1 (ko) 전도성 상호연결 구조들을 사전-세정하기 위한 방법들
TWI721321B (zh) 於磊晶成長前預清潔基板表面之方法及設備
TWI621157B (zh) 共形摻雜的方法與設備
US6346489B1 (en) Precleaning process for metal plug that minimizes damage to low-κ dielectric
JP3815937B2 (ja) 半導体装置のコンタクトホール埋め込み方法
US8008166B2 (en) Method and apparatus for cleaning a substrate surface
US20080153306A1 (en) Dry photoresist stripping process and apparatus
US20040203251A1 (en) Method and apparatus for removing a halogen-containing residue
TW201218255A (en) Integrated platform for in-situ doping and activation of substrates
JP2001144028A (ja) 連続フッ素および水素プラズマによるコンタクト洗浄
JP2011508433A (ja) 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
WO2010117703A2 (en) Method of selective nitridation
KR20180103022A (ko) 산화막 제거 방법 및 제거 장치, 그리고 컨택트 형성 방법 및 컨택트 형성 시스템
US8992689B2 (en) Method for removing halogen-containing residues from substrate
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
US6124218A (en) Method for cleaning wafer surface and a method for forming thin oxide layers
JP3887123B2 (ja) ドライエッチング方法
JP4278533B2 (ja) アッシング方法及びアッシング装置
Yang et al. In-situ Cleaning of Post-etch Byproducts by Manipulating Dechucking Environment Gas in Silicon Etch Process
US11515200B2 (en) Selective tungsten deposition within trench structures