CN105453233B - 于外延生长之前预清洁基板表面的方法和设备 - Google Patents

于外延生长之前预清洁基板表面的方法和设备 Download PDF

Info

Publication number
CN105453233B
CN105453233B CN201480043644.7A CN201480043644A CN105453233B CN 105453233 B CN105453233 B CN 105453233B CN 201480043644 A CN201480043644 A CN 201480043644A CN 105453233 B CN105453233 B CN 105453233B
Authority
CN
China
Prior art keywords
substrate
plasma
chamber
pollutant
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480043644.7A
Other languages
English (en)
Other versions
CN105453233A (zh
Inventor
克里斯托弗·S·奥尔森
特里萨·克莱默·瓜里尼
杰弗里·托宾
劳拉·哈夫雷查克
彼得·斯通
洛志威
乔普拉·索拉布
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201710619877.2A priority Critical patent/CN107574476A/zh
Priority to CN201910885972.6A priority patent/CN110735181A/zh
Publication of CN105453233A publication Critical patent/CN105453233A/zh
Application granted granted Critical
Publication of CN105453233B publication Critical patent/CN105453233B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

本发明的实施方式大体涉及从基板表面移除污染物和原生氧化物的方法。方法一般包括利用等离子体工艺移除设置于基板表面上的污染物,并接着通过利用远程等离子体辅助干式蚀刻工艺清洁基板表面。

Description

于外延生长之前预清洁基板表面的方法和设备
技术领域
本发明的实施方式大体涉及从基板表面移除污染物和氧化物的方法和设备。
背景技术
集成电路形成于硅和其他半导体基板中以及形成于硅和其他半导体基板上。在单晶硅的情形中,通过从熔融硅的浴(bath)生成锭并接着将固化的锭切成多个晶片来制造基板。接着可在单晶硅晶片上形成外延硅层以形成可为掺杂的或未掺杂的无缺陷硅层。由外延硅层制造诸如晶体管之类的半导体装置。所形成的外延硅层的电学性质通常优于单晶硅基板的性质。
当暴露于一般的晶片制造设施周围条件时,单晶硅和外延硅层的表面易受到污染物影响。举例而言,原生氧化物层可在外延层沉积之前形成于单晶硅表面上。此外,存在于周围环境中的污染物会沉积于单晶表面上。单晶硅表面上存在的原生氧化物层或污染物负面地影响后续形成于单晶表面上的外延层的品质。虽然目前的清洁方法从单晶硅表面移除了某些原生氧化物和污染物,但仍然残留某些污染物。
因此,需要清洁基板表面的方法和设备,特别是在执行外延沉积工艺之前清洁基板表面的方法和设备。
发明内容
本发明的实施方式大体涉及从基板表面移除污染物和原生氧化物的方法。方法一般包括利用等离子体工艺移除设置于基板表面上的污染物,并接着通过利用远程等离子体辅助干式蚀刻工艺清洁基板表面。
在一个实施方式中,披露清洁基板的表面的方法。方法包括从基板的表面移除污染物、接着通过利用等离子体蚀刻工艺清洁基板的表面、并在基板的表面上形成外延层,其中通过还原工艺移除污染物,且其中在等离子体蚀刻工艺过程中使用至少一种处理气体。
在另一个实施方式中,披露在基板的表面上形成外延层的方法。方法包括从基板的表面移除污染物、接着通过利用等离子体蚀刻工艺清洁基板的表面、并接着在基板的表面上形成外延层,其中通过还原工艺移除污染物。
在另一个实施方式中,披露清洁基板的表面的方法。方法包括从基板的表面移除污染物、通过利用等离子体蚀刻工艺清洁基板的表面、并在基板的表面上形成外延层,其中通过还原工艺移除污染物,且其中在等离子体蚀刻工艺过程中使用的处理气体的至少一者包括氟。
在另一个实施方式中,披露在基板的表面上形成外延层的设备。设备包括第一处理腔室,第一处理腔室耦接至第一传送腔室,其中第一处理腔室被配置成执行还原工艺以从基板的表面移除污染物;清洁腔室,清洁腔室耦接至第一传送腔室,其中清洁腔室被配置成执行等离子体蚀刻工艺以移除氧化物层;第二传送腔室,第二传送腔室通过第二处理腔室耦接至第一传送腔室;和多个第三处理腔室,多个第三处理腔室耦接至第二传送腔室,其中多个第三处理腔室被配置成将外延层沉积于基板的表面上。
附图说明
可通过参照实施方式(一些实施方式描绘于附图中)来详细理解本发明的上述特征以及以上简要概述的有关本发明更具体的描述。然而,需注意附图仅描绘本发明的典型实施方式,因此附图不被视为对本发明范围的限制,因为本发明可允许其他等效的实施方式。
图1描绘根据本发明的一个实施方式的处理顺序。
图2是根据本发明的一个实施方式的处理腔室的截面图。
图3是根据本发明的一个实施方式的另一处理腔室的截面图。
图4是根据本发明的一个实施方式的另一处理腔室的截面图。
图5是根据本发明的一个实施方式的清洁腔室的截面图。
图6描绘根据本发明的实施方式能用于完成图1中所示的处理顺序的处理系统。
图7描绘根据本发明的实施方式能用于完成图1中所示的处理顺序的另一处理系统。
为了便于理解,已经尽可能地使用相同的参考标记来标示各图中共有的相同元件。预期一个实施方式中披露的元件可有利地并入其他实施方式而不需特别详述。
具体实施方式
本发明的实施方式大体涉及从基板表面移除污染物和原生氧化物的方法。方法一般包括利用等离子体工艺移除设置于基板表面上的污染物,并接着通过利用远程等离子体辅助干式蚀刻工艺清洁基板表面。
图1描绘根据本发明的一个实施方式的处理顺序100。处理顺序100开始于步骤102。在步骤102中,移除基板的表面上的污染物。基板可包括含硅材料,而表面可包括诸如硅(Si)、锗(Ge)或硅锗合金(SiGe)之类的材料。在某些实施方式中,Si、Ge或SiGe表面可具有污染物和氧化物层(诸如原生氧化物层)设置于表面上。由于外延沉积工艺对污染物(诸如含碳污染物)的敏感性,暴露于大部分典型的清洁室环境达数小时将使得大量的污染物重新累积于基板的表面上,以致累积的污染物将影响后续形成的外延层的品质。
在步骤102的某些实施方式中,可利用还原工艺102A和/或氧化工艺102B从基板的表面移除污染物。本文描述有多种可适用于污染物移除的还原工艺。在一个实施方式中,利用含氢等离子体移除污染物。等离子体可包含氢气(H2)和/或氩(Ar)和氨(NH3)气。等离子体可为感应耦合或电容耦合的,或者等离子体可由微波源所激发。在一个实施方式中,等离子体是感应耦合的,处理温度可为约400摄氏度(℃),并且处理压力可为约20毫托(mTorr)。能够适于利用感应耦合等离子体执行还原工艺的处理腔室描绘于图2中。图3描绘能够适于利用电容耦合等离子体执行还原工艺的处理腔室。图4描绘能够适于利用感应耦合等离子体执行不同还原工艺的处理腔室。
在移除污染物之后,如步骤104中所示,利用清洁工艺清洁基板的表面。清洁工艺可包括等离子体蚀刻工艺,等离子体蚀刻工艺于以下进一步讨论。在某些实施方式中,等离子体蚀刻工艺可利用含氟等离子体。能够适于执行等离子体蚀刻工艺的处理腔室描绘于图5中。
接着,在步骤106中,将外延层沉积于基板的表面上。可在一个处理系统(诸如图6中所示的群集工具)中执行步骤102、104和106。或者,如图7中所示,可在不位于包含执行步骤104和106的处理腔室的处理系统内的处理腔室中执行步骤102。
图2是根据一个实施方式的处理腔室200的截面图。处理腔室200是感应耦合等离子体处理腔室,适于执行步骤102A中的至少一些工艺,并因此移除累积于基板202的表面201上的污染物,诸如碳或碳氢化合物。在一个实施方式中,处理腔室200是可从California(加利福尼亚)州Santa Clara(圣克拉拉)市的Applied Materials,Inc.(应用材料公司)获得的改良去耦等离子体氮化(DPN)腔室。
处理腔室200一般包括射频(RF)源组件291、处理腔室组件293和基板支撑组件294。处理腔室组件293一般包括用于在处理区域222中形成真空以便于能够在其中执行等离子体工艺的多个部件。一般而言,处理腔室组件293包括密封地封围处理区域222的腔室基底227、腔室壁228和腔室盖229。可通过利用真空泵210将处理区域222排空至所需的真空压力,真空泵210通过腔室基底227和/或腔室壁228连接至处理区域222。一般而言,腔室壁228和腔室基底227可由金属(诸如铝)或其他适当材料形成。
在一个实施方式中,腔室壁228和腔室盖229可为温度受控的。传统的方法和/或热交换装置可被用来加热和冷却多个腔室部件。举例而言,可通过设置于处理腔室组件293外侧的加热器(未示出),诸如灯阵列,来加热腔室壁228和腔室盖229。在另一个实例中,可在处理腔室组件293外侧循环冷却气体以冷却腔室壁228和腔室盖229。在另一个实例中,可嵌于腔室壁228和腔室盖229中的加热和/或冷却管道可连接至流体加热器/冷却器装置以控制温度。
在一个实施方式中,RF源组件291是感应型RF源,感应型RF源一般包含连接至线圈209的RF产生器208和RF匹配电路208A。线圈209被设置成邻近于腔室盖229。在一个实施方式中,RF产生器208可在约0W与约3000W之间、在约400kHz与约60MHz之间的频率下运作。在一个实例中,RF产生器208在13.56MHz的频率下运作。在一个实施方式中,RF产生器208可将RF能量脉冲提供至线圈209以产生具有降低能量水平和/或等离子体密度的等离子体。降低能量的含氢等离子体的使用可有助于在该处理步骤过程中避免基板202的表面201的粗糙化。表面201的粗糙化会负面地影响装置性能并可造成栅极泄漏(gate leakage)或较差的亚阈值电压(subthreshold voltage)。在氧化物层(诸如原生氧化物层)已经形成于基板202的表面201上的某些情形中,形成的氧化物层可有利地被用来在步骤102A过程中帮助避免表面的粗糙化。可利用低RF功率(诸如10W与500W之间)和约400kHz与约60MHz之间的频率(诸如约13.56MHz的频率)来产生低能量水平的含氢等离子体。RF功率源能够在一直开启的连续波模式下运作,或能够在脉冲模式下运作,其中功率源在100Hz至100kHz的频率下开启和关闭。
腔室盖229通常为适于使从感应RF源组件291输送的RF能量在处理区域222中形成等离子体的介电部件(例如,石英、陶瓷材料(诸如氧化铝))。等离子体可形成于处理区域222外侧,并接着被引入处理区域222中。在相同的RF功率水平下,相较于暴露于原位产生的等离子体的处理气体而言,暴露于远程等离子体的处理气体通常具有降低的能量水平。因此,在某些配置中,由远程等离子体源产生的等离子体能够被用来避免基板202的表面201的粗糙化。
在一个实施方式中,处理腔室组件293亦包含气体输送系统250,气体输送系统250适于将一种或更多种处理气体输送至处理区域222中。在一个实施方式中,处理区域222由一个或更多个屏蔽件(shield)230所环绕,一个或更多个屏蔽件230意图用来保护腔室壁228和/或腔室盖229免受产生的等离子体和腔室中执行的制备工艺的影响。在一个实施方式中,气体输送系统适于输送反应性气体,诸如含氢气体(例如,H2或NH3)和/或含氟气体(诸如,氟气(F2)、三氟化氮(NF3)或无水HF,不一一列举)。在一个实施方式中,气体输送系统250适于输送惰性气体,诸如氩(Ar)、氦(He)、氪(Kr)和/或氮(N2)。在一个实施方式中,气体输送系统250适于输送反应性气体和惰性气体。可通过调整由气体输送系统250所输送的气体的流量(flow rate)和真空泵210的泵送速度来控制处理区域222中的压力。可利用节流阀211来调整真空泵210的泵送速度。处理压力可在约1mTorr与约500mTorr之间,例如约20mTorr的压力。
基板支撑组件294一般包括基板支撑件262,基板支撑件262包含基板支撑构件262A。基板支撑构件262A可为能够用来在处理过程中有效地保持基板的传统静电夹具,或包括单纯的基板支撑件。温度控制器261通常适于通过使用温度控制器261和热交换装置来加热和/或冷却基板支撑构件262A至所需温度,热交换装置诸如嵌入式电阻加热元件或耦接至传统热交换器的流体冷却通道(未示出)。在一个实施方式中,温度控制器261适于运作并将位于基板支撑构件262A上的基板202加热至约20℃与约800℃之间(诸如约400℃)的温度。由于偏压可能会造成表面201粗糙化,因此在处理过程中并不对基板202施加偏压。
将来自RF产生器208的RF能量输送至处理区域222使得处理区域222中的气体原子变为离子化。当在运作过程中基板202暴露于处理区域222中产生的等离子体或分配至处理区域222的等离子体时,等离子体中产生的自由基(radical)和/或离子将与设置于基板202的表面201上的污染物相互作用,使得污染物从表面释出或物理性地从表面移除污染物。在某些配置中,由于等离子体中的离子化原子传送的能量撞击基板202的表面201,因此等离子体会敲落或使得污染物从表面释出。如上所述,在某些实施方式中,期望最小化等离子体产生的物种的能量的量,以降低在处理过程中使表面201粗糙化的机率。在某些实施方式中,期望形成较大比例的气体自由基/高能(energetic)离子化物种。
在步骤102A中执行的工艺的一个实例中,当将基板202维持在约15℃与约500℃之间的温度并将处理区域222中的处理压力维持在20mTorr的压力时,可用13.56MHz的RF频率下的10W与500W之间的RF功率产生含氢等离子体。在此实例中,处理过程中氢气(H2)在惰性气体中的浓度可在2%与100%之间。
在步骤102A的某些实施方式中,至少部分地利用电容耦合等离子体执行还原工艺以从基板的表面移除污染物。图3示意性地描绘根据本发明的另一个实施方式的处理腔室300的截面侧视图。处理腔室300是电容耦合等离子体产生腔室。处理腔室300包括腔室盖组件330,腔室盖组件330密封地耦接至处理腔室组件396并界定处理区域333。可通过使用真空泵310将处理区域333排空至所需的真空压力,真空泵310通过腔室基底327和/或腔室壁328连接至处理区域333。可利用节流阀311来调整真空泵210的泵送速度。一般而言,腔室壁328和腔室基底327可由金属(诸如铝)或其他适当材料形成。
在该配置中,腔室盖组件330包括气体分配板(亦被称为喷头)332和底板331,底板331具有实质上平行于气体分配板332的阻隔板334。利用电绝缘体335使气体分配板332与腔室壁328绝缘。腔室盖组件330连接至气体输送系统350。来自气体输送系统350的反应气体和/或清洁气体可通过气体通道336被输送至处理区域333。RF源组件391耦接至底板331以将用于等离子体产生的RF功率提供至处理区域333。用于电容性等离子体产生的RF源一般包括射频(RF)功率源308(例如,13.56MHz的RF产生器)和RF匹配电路308A。在处理过程中,基板支撑构件362可为接地或可为电浮动。腔室壁328与底板331之间的偏压电位可被用来在处理区域333中形成等离子体。等离子体中的活性物种可被用来处理基板302。此外,,在还原工艺的该实施方式中,可利用含氢等离子体来移除基板302的表面301上的污染物。在执行于步骤102A中的工艺的一个实例中,当将基板302维持在约15℃与约500℃之间的温度并将处理区域333中的处理压力维持在500mTorr的压力时,可用13.56MHz的RF频率下的10W与500W之间的RF功率产生含氢等离子体。在此实例中,处理过程中氢气(H2)在惰性气体中的浓度可在2%与100%之间。
在步骤102A的另一个实施方式中,利用感应耦合等离子体执行还原工艺以移除设置于基板的表面上的污染物。在一个实施方式中,感应耦合等离子体可包含H2或包含氮气(N2)和H2或NH3气体的气体混合物。在某些配置中,远程地产生感应产生的等离子体。在一个实例中,步骤102A中执行的工艺可包括在将基板维持在约15℃与约500℃之间的温度并将处理区域中的处理压力维持在约700mTorr的压力时,利用13.56MHz的RF频率下的10W与500W之间的RF功率产生感应耦合等离子体。在该实例中,处理过程中氢气(H2)在惰性气体中的浓度可在2%与100%之间。可在处理腔室或支撑腔室中执行此还原工艺。在配置中,支撑腔室是负载锁定腔室,或适于存储或作为群集工具的不同区域之间的界面(interface)的类似腔室,于以下讨论。用于执行此还原工艺的示例性负载锁定腔室描绘于图4中。
图4描绘用来执行还原工艺以从基板的表面移除污染物的负载锁定腔室400的一个实施方式。负载锁定腔室400一般包括腔室主体402、第一基板保持器404、第二基板保持器406、温度控制基座440和加热器模块470。腔室主体402可由材料(诸如铝)的单一主体制成。腔室主体402包括界定腔室容积418的第一侧壁408、第二侧壁410、顶部414和底部416。通常由石英所构成的窗450设置于腔室主体402的顶部414中并由加热器模块470至少部分地覆盖。
腔室容积418的压力可经控制,以使得负载锁定腔室400可被排空以实质上匹配传送腔室436的环境,并可通风以实质上匹配工厂界面401的环境。此外,如以下进一步所述的,腔室容积418的压力可被控制于促进执行污染物移除工艺的预定范围内。腔室主体402包括一个或更多个通风通道430和泵通道432。通风通道430和泵通道432被设置于腔室主体402的相对端,以在通风和排空过程中在腔室容积418中引发层流以最少化颗粒污染物。在一个实施方式中,两个通风通道430被设置成穿过腔室主体402的顶部414,并且泵通道432被设置成穿过腔室主体402的底部416。通道430、432通常耦接至阀412以选择性允许流入和流出腔室容积418。
通风通道430可额外地通过阀412耦接至气源452以将气体混合物提供至腔室容积418中。在一个实施方式中,通风通道430可被配置成气体分配环,其中气体混合物可从邻近壁410、408通过孔阵列分配以最佳化流动均匀性。在另一个实施方式中,可通过设置于加热器模块470下方的气体分配板(未示出)将气体混合物供应至负载锁定腔室400。气体分配板可由加热器模块470产生的热可穿透的材料所制成,以便不会实质上干扰设置于基板保持器404、406上的基板的加热。可由气源452供应的气体的实例包括N2、Ar、H2、氦(He)、氧(O2)、臭氧(O3)、水蒸汽(H2O)和类似气体。
在一个实施方式中,远程等离子体源(RPS)448可替代地耦接至通风通道430以助于从基板表面移除污染物。远程等离子体源448将由气源452提供的气体混合物所形成的等离子体提供至负载锁定腔室400。在存在RPS 448的实施方式中,扩散器(未示出)可设置于通风通道430的出口处,以便于将产生的等离子体输送至负载锁定腔室400中。
第一装载口(loading port)438设置于腔室主体402的第一壁408中,以允许基板424在负载锁定腔室400与工厂界面401之间传送,以下参照图6进一步讨论。第一狭缝阀(slit valve)444选择性地密封第一装载口438以使负载锁定腔室400与工厂界面401隔离。第二装载口439设置于腔室主体402的第二壁410中,以允许基板424在负载锁定腔室400与传送腔室436之间传送,以下参照图6进一步讨论。实质上与第一狭缝阀444相似的第二狭缝阀446选择性地密封第二装载口439以使负载锁定腔室400与传送腔室436的真空环境隔离。
第一基板保持器404同心地耦接至第二基板保持器406(即,堆叠于第二基板保持器406的顶部上),第二基板保持器406设置于腔室底部416上方。基板保持器404、406通常安装至环箍(hoop)420上,环箍420耦接至轴482,轴482延伸穿过腔室主体402的底部416。一般而言,各个基板保持器404、406被配置成保持一个基板。轴482耦接至设置于负载锁定腔室400外部的升降机构496,升降机构496控制基板保持器404和406在腔室主体402内的高度(elevation)。波纹管484耦接于环箍420与腔室主体402的底部416之间并围绕轴482设置,以提供第二基板保持器406与底部416之间的柔性密封,从而避免从腔室主体402泄漏或泄漏到腔室主体402中,并且便于在不损害负载锁定腔室400内的压力的情况下提高和降低基板保持器404、406。
第一基板保持器404被用来保持来自工厂界面401的未经处理的基板,而第二基板保持器406被用来保持从传送腔室436返回的经处理的基板。由于通风通道430和泵通道432的位置,负载锁定腔室400内的流动在排空和通风过程中是实质上层流状的,且被配置成最少化颗粒污染物。
上述处理腔室/负载锁定腔室使用感应耦合等离子体或电容耦合等离子体任一者以从基板的表面移除污染物。在另一个实施方式中,处理腔室可使用微波能量源以产生包含还原气体的等离子体(例如,含氢等离子体),包含还原气体的等离子体被用来执行步骤102A的污染物移除工艺。
上述还原方法通常使用含氢等离子体来从基板移除污染物。另一种从基板的表面移除污染物的方法是使用氧化工艺102B。氧化工艺可适合用于硅(Si)和锗(Ge)表面,但不适合用于从SiGe表面移除污染物。SiGe表面的氧化会造成表面处的组分扰动(compositional disturbance)。在一个实施方式中,执行在室温和20mTorr下利用感应耦合含氧等离子体的氧化工艺102B以移除污染物。在另一个实施方式中,在约50℃与约600℃之间(诸如约400℃)的温度执行自由基氧化工艺以移除污染物。
在另一个实施方式中,氧化工艺102B利用感应耦合含氧等离子体以从基板的表面移除污染物。含氧等离子体中产生的自由基和/或离子将与设置于基板的表面上的污染物相互作用,使得污染物从表面释出或物理性地从表面移除污染物。在某些配置中,由于被激发的含氧气体原子与基板的表面上的污染物相互作用,因此等离子体会敲落或使得污染物从表面释出。含氧等离子体亦可于基板的表面上形成薄氧化物层,薄氧化物层保护表面免于粗糙化。等离子体可包含O2和N2,并且可远程地产生等离子体。处理温度可为约250℃,并且处理压力可为约700mTorr。在一个实例中,当将基板维持在约15℃与约500℃之间的温度并将处理区域中的处理压力维持在700mTorr的压力时,可用13.56MHz的RF频率下的100W与5000W之间的RF功率产生含氧等离子体。在此实例中,含氧气体在惰性气体中的浓度可在2%与100%之间。在一个实施方式中,于负载锁定腔室400中执行此氧化工艺102B,其中通过设置于通风通道430出口处的石英扩散器引入包含O2和N2的远程等离子体。
返回参照图1,在步骤102处,可通过上述还原102A和/或氧化102B污染物移除工艺之一移除污染物。因此,可通过氧化工艺102B、还原工艺102A或在还原工艺102A之后进行氧化工艺102B来移除污染物。在某些实例中,可通过在执行氧化工艺102B之后进行还原工艺102A来移除污染物。在清洁工艺(步骤104)之前,氧化/还原工艺102B、102A有助于从Si基板的Si、Ge或SiGe表面移除污染物(诸如,碳或碳氢化合物)。在某些实例中,无污染物的表面可包括在步骤102过程中形成或在步骤102之前形成的氧化物层。氧化物层可为上述氧化工艺102B的结果,或者为原生氧化物层。在步骤104处,利用等离子体蚀刻工艺进一步清洁基板的表面(例如,移除氧化物层)。在步骤104的至少一部分过程中执行的等离子体蚀刻工艺可基于氟。
在一个实施方式中,等离子体蚀刻工艺是涉及将基板同时暴露于NF3和NH3等离子体副产物的远程等离子体辅助干式蚀刻工艺。在一个实例中,等离子体蚀刻工艺可类似于或可包括从California州Santa Clara市的Applied Materials,Inc.获得的SiCoNiTM蚀刻工艺。在某些配置中,使用远程等离子体激发气体物种允许无等离子体伤害的基板处理。远程等离子体蚀刻是基本上共形的且对氧化硅层具有选择性,因此不会轻易地蚀刻硅,无论硅是否为非晶、结晶或多晶的。远程等离子体工艺通常在移除基板材料时产生生长于基板的表面上的固态副产物。接着当基板的温度升高时,可经由升华移除固态副产物。等离子体蚀刻工艺造成基板表面上具有硅-氢(Si-H)键。
在一个实施方式中,等离子体蚀刻工艺可包括流量在约1sccm至约20sccm范围内(诸如约5sccm)的NF3,以及流量在约50sccm至约200sccm范围内(诸如约100sccm)的NH3。可在约5Torr的压力下执行等离子体蚀刻工艺,并可利用约30W的RF功率设定来离子化NF3和NH3。接着可通过在约120℃或更高的温度退火基板约5秒至约100秒(诸如约60秒)而使副产物从基板的表面升华。氟基清洁的其他实施方式包括让NH3气体与F2或无水HF气体在等离子体或热式加热任一者中反应以蚀刻SiO2原生氧化物。在15℃至130℃的温度下,气流比的实例将为1:1至1:10气流比的氟气比NH3气体。
图5是可适于执行步骤104的清洁腔室500的示意性截面图。腔室500可特别有利于执行热式或等离子体式氧化工艺和/或等离子体辅助干式蚀刻工艺。腔室500包括腔室主体512、盖组件514和支撑组件516。盖组件514设置于腔室主体512的上端处,且支撑组件516至少部分地设置于腔室主体512内。真空系统可被用来从腔室500移除气体。真空系统包括真空泵518,真空泵518耦接至设置于腔室主体512中的真空口521。
盖组件514包括至少两个堆叠部件,所述至少两个堆叠部件被配置成在它们之间形成等离子体容积或腔体。第一电极520垂直地设置于限制等离子体容积的第二电极522上方。第一电极520连接至功率源524(诸如射频(RF)电源),并且第二电极522连接到地或源返回(source return),而在第一电极520与第二电极522之间形成电容。盖组件514亦包括一个或更多个气体入口526,以将清洁气体通过阻隔板528和气体分配板530提供至基板表面。清洁气体可为蚀刻剂或离子化的活性自由基(诸如,离子化的氟、氯或氨)或氧化剂(诸如臭氧)。此外,腔室500包括控制器502以控制腔室500内的工艺。
支撑组件516可包括基板支撑件532以在工艺过程中将基板510支撑于基板支撑件532上。基板支撑件532可经由轴536耦接至致动器534,轴536延伸穿过形成于腔室主体512的底表面中的位于中央的开口。致动器534可通过波纹管(未示出)柔性地密封至腔室主体512,以避免从轴536周围的真空泄漏。致动器534允许基板支撑件532在腔室主体512内于处理位置与下方传送位置之间垂直地移动。传送位置略微低于形成于腔室主体512的侧壁中的狭缝阀的开口。
基板支撑件532具有平坦或实质上平坦的表面,以将待处理的基板支撑于基板支撑件532上。基板支撑件532可通过致动器534在腔室主体512内垂直地移动,基板支撑件532经由轴536耦接至致动器534。运作中,基板支撑件532可升高至相当接近盖组件514的位置,以控制接正在被处理的基板510的温度。因此,可通过从分配板530发射的辐射或来自分配板530的对流来加热基板510。
可利用不同的清洁工艺来清洁基板表面。在一个实施方式中,通过气体分配板(诸如喷头)将包含He和NF3的远程等离子体引入处理腔室。经由分隔的气体入口将NH3直接注入腔室。
在处理顺序100的一个实例中,可在从California州Santa Clara市的AppliedMaterials,Inc.获得的SiCoNiTM清洁腔室中执行清洁工艺(步骤104)。亦可使用从其他制造商获得的腔室来执行本文所述的实施方式。在一个实施方式中,可在单一处理腔室(诸如,图2-5中所示的腔室之一)中执行步骤102和104两者。在一个实例中,在SiCoNiTM清洁腔室中执行步骤102和104两者。
接着,在步骤106处,在执行清洁工艺之后,可在基板的表面上形成外延硅层。基板的表面没有污染物,这改善了后续形成于基板的表面上的外延层的品质。在一个实例中,外延沉积可为在低于800℃的温度执行的选择性外延沉积工艺。在此实例中,温度被设定成不超过800℃,以便限制如果过热则会变形或散开的精细特征结构(delicate feature)的晶片热预算。在一个实施方式中,利用高温化学气相沉积(CVD)工艺来沉积外延层。在此热CVD工艺中,使用处理气体(诸如,二氯硅烷、硅烷、二硅烷、锗烷、氯化氢或上述气体的组合)来沉积外延层。处理温度在800℃,而处理压力在5Torr与600Torr之间。当执行步骤102、104和106时,已经减少界面处的污染物,并形成相对无缺陷的外延层。
图6描绘根据本发明的实施方式能够用于完成图1中所示的处理顺序100的处理系统600。如图6中所示,多个处理腔室602耦接至第一传送腔室604。第一传送腔室604亦耦接至第一对处理腔室606。第一传送腔室604具有设置于中央位置的传送机械手(robot)(未示出)以在处理腔室606与处理腔室602之间传送基板。处理腔室606耦接至第二传送腔室610,第二传送腔室610耦接至处理腔室614以移除污染物(步骤102),并耦接至清洁腔室616以清洁基板(步骤104)。第二传送腔室610具有设置于中央位置的传送机械手(未示出)以在一组负载锁定腔室612和处理腔室614或清洁腔室616之间传送基板。工厂界面620经由负载锁定腔室612连接至第二传送腔室610。工厂界面620在负载锁定腔室612的相对侧上耦接至一个或更多个舱(pod)630。舱630通常为可从清洁室进出(accessible)的前开式标准舱(frontopening unified pod,FOUP)。
运作过程中,基板首先被传送至处理腔室614,其中执行还原工艺、氧化工艺或在还原工艺之后进行氧化工艺或相反顺序以从基板表面移除污染物(诸如,碳或碳氢化合物)。污染物移除工艺描述于图1中的步骤102。接着,将基板传送至清洁腔室616,在其中执行步骤104。步骤102与步骤104之间的等待时间(queue time)可为8至12小时。在一个实施方式中,步骤102与步骤104之间的等待时间为约2至3小时。等待时间通常被定义为在已经于基板上完成第一工艺之后且在必须于基板上完成第二工艺之前基板暴露于大气或其他污染物的时间,以避免对制成装置的性能造成某些负面影响。
接着将清洁基板传送至一个或更多个处理腔室602,在其中执行如步骤106所述的外延沉积。由于所有三个步骤102、104和106执行于相同的处理系统中,当传送基板至不同腔室时并未破坏真空,这降低了污染的可能性并改善了所沉积的外延膜的品质。
在另一个实施方式中,在非为包含清洁腔室616和一个或更多个处理腔室602的处理系统的一部分的腔室中执行污染物移除步骤102。如图7中所示,在处理腔室702中移除基板表面上的污染物。接着将基板传送至处理系统700,处理系统700为不具有处理腔室614的处理系统600。将基板传送至清洁腔室616,在其中执行步骤104。接着,将基板传送至处理腔室602的至少一者,在其中执行步骤106。
综上所述,披露了在外延沉积之前从基板表面移除污染物并清洁基板的方法。污染物移除工艺可为还原工艺、氧化工艺或包括还原工艺和氧化工艺的处理顺序。接着,在基板上执行含氟等离子体蚀刻以移除氧化物层。由于含氟等离子体蚀刻在移除可为碳氢化合物或碳基的污染物方面不起作用,因此在等离子体蚀刻之前的移除工艺有助于移除污染物,这进而改善后续沉积于基板上的外延层的品质。
虽然上文针对本发明的实施方式,但可在不背离本发明的基本范围的情况下,设计出本发明的其他和进一步的实施方式,本发明的范围由后续的权利要求书所确定。

Claims (11)

1.一种清洁基板的表面的方法,包括:
从所述基板的所述表面移除含碳污染物的第一部分,其中通过含氢等离子体工艺移除所述含碳污染物的所述第一部分;接着
通过氧化工艺移除所述含碳污染物的第二部分;接着
通过使用含氟等离子体蚀刻工艺来清洁所述基板的所述表面,其中在所述含氟等离子体蚀刻工艺过程中使用至少一种处理气体;并接着
在所述基板的所述表面上形成外延层。
2.如权利要求1所述的方法,其中所述含氢等离子体工艺利用感应耦合等离子体。
3.如权利要求2所述的方法,其中在20mTorr的压力下执行所述含氢等离子体工艺。
4.如权利要求2所述的方法,其中在700mTorr的压力下执行所述含氢等离子体工艺。
5.如权利要求2所述的方法,其中所述感应耦合等离子体是远程产生的。
6.如权利要求1所述的方法,其中所述至少一种处理气体是NF3
7.一种在基板的表面上形成外延层的方法,包括:
从所述基板的所述表面移除含碳污染物的第一部分,其中通过含氢等离子体工艺移除所述含碳污染物的所述第一部分;接着
通过氧化工艺移除所述含碳污染物的第二部分;接着
通过使用含氟等离子体蚀刻工艺来清洁所述基板的所述表面;并接着
在所述基板的所述表面上形成外延层。
8.如权利要求7所述的方法,其中所述含氢等离子体工艺利用感应耦合等离子体。
9.如权利要求8所述的方法,其中在20mTorr的压力下执行所述含氢等离子体工艺。
10.如权利要求7所述的方法,其中所述含氢等离子体工艺利用具有含氢气体的电容耦合等离子体。
11.如权利要求7所述的方法,其中所述含氢等离子体工艺利用具有含氢气体的由微波所激发的等离子体。
CN201480043644.7A 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备 Active CN105453233B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201710619877.2A CN107574476A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备
CN201910885972.6A CN110735181A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361864444P 2013-08-09 2013-08-09
US61/864,444 2013-08-09
PCT/US2014/047688 WO2015020792A1 (en) 2013-08-09 2014-07-22 Method and apparatus for precleaning a substrate surface prior to epitaxial growth

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201710619877.2A Division CN107574476A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备
CN201910885972.6A Division CN110735181A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备

Publications (2)

Publication Number Publication Date
CN105453233A CN105453233A (zh) 2016-03-30
CN105453233B true CN105453233B (zh) 2019-10-22

Family

ID=52447486

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201910885972.6A Pending CN110735181A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备
CN201710619877.2A Pending CN107574476A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备
CN201480043644.7A Active CN105453233B (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201910885972.6A Pending CN110735181A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备
CN201710619877.2A Pending CN107574476A (zh) 2013-08-09 2014-07-22 于外延生长之前预清洁基板表面的方法和设备

Country Status (6)

Country Link
US (4) US9683308B2 (zh)
JP (1) JP6637420B2 (zh)
KR (2) KR102245729B1 (zh)
CN (3) CN110735181A (zh)
TW (4) TWI641022B (zh)
WO (1) WO2015020792A1 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015020792A1 (en) 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9735009B2 (en) * 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
DE102015101966B4 (de) * 2015-02-11 2021-07-08 Infineon Technologies Austria Ag Verfahren zum Herstellen eines Halbleiterbauelements mit Schottkykontakt und Halbleiterbauelement
CN108701590A (zh) * 2015-08-17 2018-10-23 安托士设备系统公司 使用大气压等离子体准备步骤的外延生长
US9870921B2 (en) 2015-09-22 2018-01-16 Applied Materials, Inc. Cleaning method
KR20180085807A (ko) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 세정 방법
JP7190905B2 (ja) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
US20180076026A1 (en) * 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10043667B2 (en) * 2016-09-15 2018-08-07 Applied Materials, Inc. Integrated method for wafer outgassing reduction
EP3513428A4 (en) * 2016-09-15 2020-06-10 Applied Materials, Inc. INTEGRATED SYSTEM FOR SEMICONDUCTOR PROCESSES
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20200035186A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 통합 에피택시 시스템 고온 오염물 제거
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
KR102404119B1 (ko) * 2017-12-13 2022-05-31 어플라이드 머티어리얼스, 인코포레이티드 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10655217B2 (en) * 2018-05-01 2020-05-19 Spts Technologies Limited Method of forming a passivation layer on a substrate
US11018223B2 (en) * 2018-07-20 2021-05-25 Applied Materials, Inc. Methods for forming device isolation for semiconductor applications
JP7114384B2 (ja) * 2018-07-26 2022-08-08 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
JP7099398B2 (ja) * 2019-04-18 2022-07-12 株式会社Sumco 気相成長方法及び気相成長装置
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム
JP7292173B2 (ja) * 2019-10-11 2023-06-16 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
US20240118603A1 (en) * 2021-02-25 2024-04-11 Applied Materals, Inc. Methods and apparatus for ruthenium oxide reduction on extreme ultraviolet photomasks
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers
CN114855270B (zh) * 2022-04-21 2023-07-28 南昌大学 一种类分子束外延设备及薄膜制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102326229A (zh) * 2009-03-05 2012-01-18 应用材料公司 沉积具有低界面污染的层的方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63297547A (ja) * 1987-05-29 1988-12-05 Nippon Telegr & Teleph Corp <Ntt> 基板清浄化法
JPS63312644A (ja) * 1987-06-15 1988-12-21 Nippon Telegr & Teleph Corp <Ntt> 基板清浄化法
JPS6423538A (en) * 1987-07-20 1989-01-26 Nec Corp Method and equipment for manufacturing semiconductor device
JPH01225127A (ja) * 1988-03-04 1989-09-08 Oki Electric Ind Co Ltd 基板清浄化方法及び基板加熱装置
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
JPH118226A (ja) * 1997-06-17 1999-01-12 Oki Electric Ind Co Ltd 半導体基板表面の清浄化方法及びその装置
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP2000164712A (ja) * 1998-11-27 2000-06-16 Sony Corp 電子装置の製造方法
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US20030062064A1 (en) 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US7390755B1 (en) * 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP4037154B2 (ja) * 2002-04-15 2008-01-23 松下電器産業株式会社 プラズマ処理方法
US6911233B2 (en) 2002-08-08 2005-06-28 Toppoly Optoelectronics Corp. Method for depositing thin film using plasma chemical vapor deposition
KR20040048019A (ko) * 2002-12-02 2004-06-07 주성엔지니어링(주) 실리콘 에피텍셜층 형성방법
KR100483594B1 (ko) * 2002-12-27 2005-04-15 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US7611995B2 (en) * 2003-04-22 2009-11-03 Tokyo Electron Limited Method for removing silicon oxide film and processing apparatus
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7524769B2 (en) * 2005-03-31 2009-04-28 Tokyo Electron Limited Method and system for removing an oxide from a substrate
WO2007020874A1 (ja) 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. 薄膜形成方法および半導体デバイスの製造方法
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
CN101415865B (zh) 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
JP2007305730A (ja) * 2006-05-10 2007-11-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
WO2008149741A1 (ja) 2007-05-31 2008-12-11 Ulvac, Inc. プラズマ処理装置のドライクリーニング方法
WO2009013034A1 (en) 2007-07-20 2009-01-29 Interuniversitair Microelektronica Centrum (Imec) Method for providing a crystalline germanium layer on a substrate
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
FR2949237B1 (fr) * 2009-08-24 2011-09-30 Ecole Polytech Procede de nettoyage de la surface d'un substrat de silicium
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
CN103098177A (zh) 2010-08-04 2013-05-08 应用材料公司 从衬底表面去除污染物与原生氧化物的方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
WO2015020792A1 (en) * 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102326229A (zh) * 2009-03-05 2012-01-18 应用材料公司 沉积具有低界面污染的层的方法

Also Published As

Publication number Publication date
TWI641022B (zh) 2018-11-11
KR20160042010A (ko) 2016-04-18
TW202316487A (zh) 2023-04-16
US10428441B2 (en) 2019-10-01
TWI721321B (zh) 2021-03-11
TW202135137A (zh) 2021-09-16
US20210010160A1 (en) 2021-01-14
TW201523694A (zh) 2015-06-16
US20180016705A1 (en) 2018-01-18
CN105453233A (zh) 2016-03-30
KR20210047971A (ko) 2021-04-30
JP2016528734A (ja) 2016-09-15
US10837122B2 (en) 2020-11-17
US20150040822A1 (en) 2015-02-12
US20190382917A1 (en) 2019-12-19
WO2015020792A1 (en) 2015-02-12
KR102245729B1 (ko) 2021-04-28
TW201909236A (zh) 2019-03-01
CN107574476A (zh) 2018-01-12
JP6637420B2 (ja) 2020-01-29
CN110735181A (zh) 2020-01-31
US9683308B2 (en) 2017-06-20

Similar Documents

Publication Publication Date Title
CN105453233B (zh) 于外延生长之前预清洁基板表面的方法和设备
KR101087925B1 (ko) 기판 표면을 세정하기 위한 방법 및 장치
US20090035927A1 (en) Method of forming dielectric layers on a substrate and apparatus therefor
TW202038380A (zh) 用於隔離結構的伸縮襯裡層
KR20080002855A (ko) 기판으로부터 산화물을 제거하기 위한 방법 및 시스템
US20230146981A1 (en) Hydrogen management in plasma deposited films
US20240087882A1 (en) Fluorine-doped silicon-containing materials

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant