TW201523694A - 於磊晶成長前預清潔基板表面之方法及設備 - Google Patents

於磊晶成長前預清潔基板表面之方法及設備 Download PDF

Info

Publication number
TW201523694A
TW201523694A TW103127097A TW103127097A TW201523694A TW 201523694 A TW201523694 A TW 201523694A TW 103127097 A TW103127097 A TW 103127097A TW 103127097 A TW103127097 A TW 103127097A TW 201523694 A TW201523694 A TW 201523694A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
plasma
contaminants
gas
Prior art date
Application number
TW103127097A
Other languages
English (en)
Other versions
TWI641022B (zh
Inventor
Christopher S Olsen
Theresa Kramer Guarini
Jeffrey Tobin
Lara Hawrylchak
Peter Stone
Chi-Wei Lo
Saurabh Chopra
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201523694A publication Critical patent/TW201523694A/zh
Application granted granted Critical
Publication of TWI641022B publication Critical patent/TWI641022B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

本發明之實施例大致關於自基板表面移除汙染物與原生氧化物的方法。方法大致包括利用電漿製程移除配置於基板表面上之汙染物,並接著藉由利用遠端電漿輔助乾式蝕刻製程清潔基板表面。

Description

於磊晶成長前預清潔基板表面之方法及設備
本發明之實施例大致關於自基板表面移除汙染物與氧化物的方法與設備。
積體電路形成於矽與其他半導體基板之中與之上。在單晶矽的實例中,藉由自熔融矽的槽生成錠並接著鋸切固化錠成多個晶圓來製造基板。接著可在單晶矽晶圓上形成磊晶矽層以形成可為摻雜的或未摻雜的無缺陷矽層。自磊晶矽層製造半導體元件(例如,電晶體)。所形成之磊晶矽層的電學性質通常優於單晶矽基板的性質。
當暴露於一般晶圓製造設施周遭條件時,單晶矽與磊晶矽層的表面易受到汙染物影響。舉例而言,原生氧化物層可在磊晶層沉積之前形成於單晶矽表面上。此外,存在於周遭環境中之汙染物會沉積於單晶表面上。單晶矽表面上存在的原生氧化物層或汙染物負面地影響後續形成於單晶表面上之磊晶層的品質。雖然目前的清潔方法自單晶矽表面移除 某些原生氧化物與汙染物,但仍然保留某些汙染物。
因此,需要清潔基板表面的方法與設備,特別是在執行磊晶沉積製程之前清潔基板表面的方法與設備。
本發明之實施例大致關於自基板表面移除汙染物與原生氧化物的方法。方法大致包括利用電漿製程移除配置於基板表面上之汙染物,並接著藉由利用遠端電漿輔助乾式蝕刻製程清潔基板表面。
在一個實施例中,揭露清潔基板之表面的方法。方法包括自基板之表面移除汙染物並藉由利用電漿蝕刻製程清潔基板之表面,其中藉由還原製程移除汙染物,且其中電漿蝕刻製程過程中應用之製程氣體的至少一者包括氟。
在另一個實施例中,揭露在基板之表面上形成磊晶層的方法。方法包括自基板之表面移除汙染物、藉由利用電漿蝕刻製程清潔基板之表面並在基板之表面上形成磊晶層,其中藉由還原製程移除汙染物。
在另一個實施例中,揭露清潔基板之表面的方法。方法包括自基板之表面移除汙染物、藉由利用電漿蝕刻製程清潔基板之表面並在基板之表面上形成磊晶層,其中藉由還原製程移除汙染物,且其中電漿蝕刻製程過程中應用之製程氣體的至少一者包括氟。
在另一個實施例中,揭露在基板之表面上形成磊晶層的設備。設備包括第一製程腔室,第一製程腔室耦接至第一移送室,其中第一製程腔室係設以執行還原製程以自基板 之表面移除汙染物;清潔腔室,清潔腔室耦接至第一移送室,其中清潔腔室係設以執行電漿蝕刻製程以移除氧化物層;第二移送室,第二移送室藉由第二製程腔室耦接至第一移送室;及複數個第三製程腔室,複數個第三製程腔室耦接至第二移送室,其中複數個第三製程腔室係設以沉積磊晶層於基板之表面上。
100‧‧‧製程順序
102A‧‧‧還原製程
102B‧‧‧氧化製程
102、104、106‧‧‧步驟
200、300、602、614、702‧‧‧製程腔室
201、301‧‧‧表面
202、302、424、510‧‧‧基板
208A、308A‧‧‧RF匹配電路
208‧‧‧RF產生器
209‧‧‧線圈
210、310、518‧‧‧真空泵浦
211、311‧‧‧節流閥
222、333‧‧‧處理區域
227、327‧‧‧腔室基底
228、328‧‧‧腔室壁
229‧‧‧腔室蓋
230‧‧‧擋板
250‧‧‧氣體輸送系統
261‧‧‧溫度控制器
262A、362、532‧‧‧基板支撐件
262‧‧‧基板支撐
291、391‧‧‧RF源組件
293、396‧‧‧製程腔室組件
294‧‧‧基板支撐組件
308、524‧‧‧功率源
330‧‧‧腔室蓋組件
331‧‧‧底板
332‧‧‧氣體分配板
334‧‧‧阻隔板
335‧‧‧電絕緣體
336‧‧‧氣體通道
350‧‧‧氣體輸送組件
400‧‧‧負載鎖定腔室
401‧‧‧工廠介面
402、512‧‧‧腔室主體
404‧‧‧第一基板固持件
406‧‧‧第二基板固持件
408‧‧‧第一側壁
410‧‧‧第二側壁
412‧‧‧閥
414‧‧‧頂部
416‧‧‧底部
418‧‧‧腔室空間
420‧‧‧環
430‧‧‧通風通道
432‧‧‧泵浦通道
436‧‧‧移送室
438‧‧‧第一負載埠
439‧‧‧第二負載埠
440‧‧‧溫度控制基座
444‧‧‧第一狹縫閥
446‧‧‧第二狹縫閥
448‧‧‧遠端電漿源
450‧‧‧窗
452‧‧‧氣體源
470‧‧‧加熱器模組
482、536‧‧‧桿
484‧‧‧波紋管
496‧‧‧舉升機構
500‧‧‧清潔腔室
502‧‧‧控制器
514‧‧‧蓋組件
516‧‧‧支撐組件
520‧‧‧第一電極
521‧‧‧真空埠
522‧‧‧第二電極
526‧‧‧氣體入口
528‧‧‧阻隔板
530‧‧‧氣體分配板
534‧‧‧致動器
600、700‧‧‧製程系統
604‧‧‧第一移送室
606‧‧‧第一組製程腔室
610‧‧‧第二移送室
616‧‧‧清潔腔室
620‧‧‧工廠介面
630‧‧‧艙
為了詳細理解本發明上述之特徵,可參照某些實施例來理解簡短概述於上的本發明的更明確描述,該等實施例中之一些實施例圖示於附圖中。然而,需注意附圖僅描繪本發明之典型實施例而因此附圖不被視為本發明之範圍的限制因素,因為本發明可接納其他等效實施例。
第1圖描繪根據本發明之一個實施例之製程順序。
第2圖是根據本發明之一個實施例之製程腔室的橫剖面圖。
第3圖是根據本發明之一個實施例之另一製程腔室的橫剖面圖。
第4圖是根據本發明之一個實施例之另一製程腔室的橫剖面圖。
第5圖是根據本發明之一個實施例之清潔腔室的橫剖面圖。
第6圖描繪根據本發明之實施例可用於完成第1圖中所描繪之製程順序的製程系統。
第7圖描繪根據本發明之實施例可用於完成第1圖 中所描繪之製程順序的另一製程系統。
為了促進理解,已經盡可能應用相同的元件符號來標示圖式中共有的相同元件。預期一個實施例揭露的元件可有利地併入其他實施例而不需特別詳述。
本發明之實施例大致關於自基板表面移除汙染物與原生氧化物的方法。方法大致包括利用電漿製程移除配置於基板表面上之汙染物,並接著藉由利用遠端電漿輔助乾式蝕刻製程清潔基板表面。
第1圖描繪根據本發明之一個實施例之製程順序100。製程順序100開始於步驟102。在步驟102中,移除基板之表面上的汙染物。基板可包括含矽材料,而表面可包括諸如矽(Si)、鍺(Ge)或矽鍺合金(SiGe)之材料。在某些實施例中,Si、Ge或SiGe表面可具有汙染物與氧化物層(例如,原生氧化物層)配置於表面上。由於磊晶沉積製程對汙染物(例如,含碳汙染物)的敏感性,暴露至大部分典型清潔室環境達數小時將讓顯著數量的汙染物重新累積於基板之表面上,以致累積的汙染物將影響後續形成之磊晶層的品質。
在步驟102之某些實施例中,可利用還原製程102A與/或氧化製程102B自基板之表面移除汙染物。本文描述有多種可適用於汙染物移除的還原製程。在一個實施例中,利用含氫電漿移除汙染物。電漿可包含氫氣(H2)與/或氬(Ar)與氨(NH3)氣。電漿可為感應耦合或電容耦合的,或者電漿可由微波源所激發。在一個實施例中,電漿是感應耦合的,製程溫 度可為約400攝氏度(℃),而製程壓力可為約20毫托(mTorr)。可適以利用感應耦合電漿執行還原製程之製程腔室描繪於第2圖中。第3圖描繪可適以利用電容耦合電漿執行還原製程之製程腔室。第4圖描繪可適以利用感應耦合電漿執行不同還原製程的製程腔室。
在移除汙染物之後,如步驟104中所示,利用清潔製程清潔基板之表面。清潔製程可包括電漿蝕刻製程,電漿蝕刻製程於下進一步討論。在某些實施例中,電漿蝕刻製程可利用含氟電漿。可適以執行電漿蝕刻製程之製程腔室描繪於第5圖中。
接著,在步驟106中,將磊晶層沉積於基板之表面上。可在一個製程系統(例如,第6圖中描繪之群集工具)中執行步驟102、104與106。或者,如第7圖中所描述,可在不位於包含執行步驟104與106之製程腔室的製程系統中之製程腔室中執行步驟102。
第2圖是根據一個實施例之製程腔室200的橫剖面圖。製程腔室200是感應耦合電漿製程腔室,適以執行至少某些發現於步驟102A中之製程,並因此移除累積於基板202之表面201上之汙染物,例如碳或碳氫化合物。在一個實施例中,製程腔室200是可自Applied Materials Inc.(Santa Clara,California)取得的改良去耦電漿氮化(DPN)腔室。
製程腔室200大致包括射頻(RF)源組件291、製程腔室組件293與基板支撐組件294。製程腔室組件293大致包括用於在處理區域222中形成真空以便於其中執行電漿製程的 多個部件。一般而言,製程腔室組件293包括密封地封圍處理區域222的腔室基底227、腔室壁228與腔室蓋229。可藉由利用真空泵浦210將處理區域222排空至所欲的真空壓力,真空泵浦210通過腔室基底227與/或腔室壁228連接至處理區域222。一般而言,腔室壁228與腔室基底227可由金屬(例如,鋁)或其他適當材料所形成。
在一個實施例中,腔室壁228與腔室蓋229可為溫度受控的。傳統的方法與/或熱交換元件可被用來加熱與冷卻多個腔室部件。舉例而言,可藉由配置於製程腔室組件293外之加熱器(未圖示),例如燈陣列,來加熱腔室壁228與腔室蓋229。在另一個實例中,可在製程腔室組件293外循環冷卻氣體以冷卻腔室壁228與腔室蓋229。在另一個實例中,可嵌於腔室壁228與腔室蓋229中之加熱與/或冷卻管道可連接至流體加熱器/冷卻器裝置以控制溫度。
在一個實施例中,RF源組件291是感應型RF源,感應型RF源大致包含連接至線圈209的RF產生器208與RF匹配電路208A。線圈209配置鄰近於腔室蓋229。在一個實施例中,RF產生器208可在約0瓦與約3000瓦之間與約400kHz與約60MHz之間的頻率下運作。在一個實例中,RF產生器208在13.56MHz的頻率下運作。在一個實施例中,RF產生器208可提供RF能量脈衝至線圈209以產生具有降低能量水平與/或電漿密度的電漿。降低能量之含氫電漿的使用可有助於在此製程步驟過程中避免基板202之表面201的粗糙化。表面201的粗糙化會負面地影響元件性能並可造成閘極 滲漏或差的次臨界電壓。在氧化物層(例如,原生氧化物層)已經形成於基板202之表面201的某些實例中,形成的氧化物層可有利地被用來在步驟102A過程幫助避免表面的粗糙化。可用低RF功率(例如,10W與500W之間)與約400kHz與約60MHz間之頻率(例如,約13.56MHz的頻率)來產生低能量水平的含氫電漿。RF功率源可運作於一直開啟的連續波模式,或可運作於脈衝模式,其中功率源在100Hz至100kHz的頻率下開啟與關閉。
腔室蓋229通常為適以讓感應RF源組件291輸送之RF能量在處理區域222中形成電漿的介電性部件(諸如,石英、陶瓷材料(例如,氧化鋁))。電漿可形成於處理區域222外,並接著導入處理區域222。在相同RF功率水平下,相較於暴露於原位產生電漿的製程氣體而言,暴露於遠端電漿的製程氣體通常具有降低能量水平。因此,在某些設置中,遠端電漿源產生之電漿可被用來避免基板202之表面201的粗糙化。
在一個實施例中,製程腔室組件293亦包含氣體輸送系統250,氣體輸送系統250適以輸送一個或多個製程氣體進入處理區域222。在一個實施例中,處理區域222由一個或多個擋板230所環繞,一個或多個擋板230試圖用來保護腔室壁228與/或腔室蓋229免於產生之電漿以及腔室執行之準備製程。在一個實施例中,氣體輸送系統適以輸送反應性氣體,諸如含氫氣體(諸如,H2或NH3)與/或含氟氣體(諸如,氟氣(F2)、三氟化氮(NF3)或無水HF,不一一列舉)。在一個實施 例中,氣體輸送系統250適以輸送惰性氣體,諸如氬(Ar)、氦(He)、氪(Kr)與/或氮(N2)。在一個實施例中,氣體輸送系統250適以輸送反應性氣體與惰性氣體。可藉由調整氣體輸送系統250輸送之氣體的流動速率與真空泵浦210的抽吸速度來控制處理區域222中之壓力。節流閥211可被用來調整真空泵浦210的抽吸速度。製程壓力可在約1mTorr與約500mTorr之間,例如約20mTorr的壓力。
基板支撐組件294大致包括基板支撐262,基板支撐262包含基板支撐件262A。基板支撐件262A可為傳統可用來在製程過程中主動地固持基板的靜電夾盤,或包括單純的基板支撐件。溫度控制器261通常適以藉由使用溫度控制器261與熱交換裝置加熱與/或冷卻基板支撐件262A至所欲溫度,熱交換裝置諸如嵌入式電阻加熱元件或耦接至傳統熱交換器之流體冷卻通道(未圖示)。在一個實施例中,溫度控制器261適以運作並加熱位於基板支撐件262A上之基板202至約20℃與約800℃之間(例如,約400℃)的溫度。由於偏壓會造成表面201粗糙,在製程過程中並不偏壓基板202。
自RF產生器208輸送RF能量至處理區域222造成處理區域222中之氣體原子變為離子化。當在運作過程中基板202暴露於處理區域222中產生或分配至處理區域222之電漿,電漿中產生之游離基團與/或離子將與配置於基板202之表面201上的汙染物交互作用,造成汙染物自表面釋出或物理性地自表面移除汙染物。在某些配置中,由於電漿中之離子化原子傳送之能量攻擊基板202之表面201,電漿會敲落 或造成汙染物自表面釋出。如上所示,在某些實施例中,期望最小化電漿產生之物種的能量數量,以降低在製程過程中粗糙化表面201的機率。在某些實施例中,期望形成較大比例的氣體游離基團/充能離子化物種。
在步驟102A中執行之製程的一個實例中,當將基板202維持在約15與約500℃之間的溫度下並將處理區域222中之製程壓力維持在20mTorr的壓力下時,可用13.56MHz的RF頻率下之10W與500W之間的RF功率產生含氫電漿。在此實例中,製程過程中惰性氣體中之氫氣(H2)濃度可在2%與100%之間。
在步驟102A的某些實施例中,至少部分地利用電容耦合電漿執行還原製程以自基板之表面移除汙染物。第3圖示意性描繪根據本發明之另一個實施例之製程腔室300的橫剖面側視圖。製程腔室300是電容耦合電漿產生腔室。製程腔室300包括腔室蓋組件330,腔室蓋組件330密封地耦接至製程腔室組件396並界定處理區域333。可藉由使用真空泵浦310將處理區域333排空至所欲之真空壓力,真空泵浦310通過腔室基底327與/或腔室壁328連接至處理區域333。節流閥311可被用來調節真空泵浦210的抽吸速度。一般而言,腔室壁328與腔室基底327可由金屬(例如,鋁)或其他適當材料所形成。
在此配置中,腔室蓋組件330包括氣體分配板(亦通稱為噴頭)332與底板331,底板331具有實質上平行於氣體分配板332的阻隔板334。利用電絕緣體335絕緣氣體分配板 332與腔室壁328。腔室蓋組件330連接至氣體輸送組件350。來自氣體輸送系統350之反應氣體與/或清潔氣體可透過氣體通道336被輸送至處理區域333。RF源組件391耦接至底板331以提供用於電漿產生之RF功率至處理區域333。用於電容性電漿產生之RF源大致包括射頻(RF)功率源308(例如,13.56MHz的RF產生器)與RF匹配電路308A。製程過程中,基板支撐件362可為接地或可為電浮動。腔室壁328與底板331間之偏壓電位可被用來在處理區域333中形成電漿。電漿中之活性物種可被用來處理基板302。再次,在還原製程的此實施例中,可應用含氫電漿來移除基板302之表面301上的汙染物。在執行於步驟102A中之製程的一個實例中,當將基板302維持在約15與約500℃之間的溫度下並將處理區域333中之製程壓力維持在500mTorr的壓力下時,可用13.56MHz的RF頻率下之10W與500W之間的RF功率產生含氫電漿。在此實例中,製程過程中惰性氣體中之氫氣(H2)濃度可在2%與100%之間。
在步驟102A的另一個實施例中,利用感應耦合電漿執行還原製程以移除配置於基板之表面上的汙染物。在一個實施例中,感應耦合電漿可包含H2或包含氮氣(N2)與H2或NH3氣體之氣體混合物。在某些配置中,遠端地產生感應產生之電漿。在一個實例中,步驟102A中執行之製程可包括在將基板維持在約15與約500℃之間的溫度下並將處理區域中之製程壓力維持在700mTorr的壓力下時,利用13.56MHz的RF頻率下之10W與500W之間的RF功率產生感應 耦合電漿。在此實例中,製程過程中惰性氣體中之氫氣(H2)濃度可在2%與100%之間。可在製程腔室或支援腔室中執行此還原製程。在配置中,支援腔室是負載鎖定腔室,或適以儲存或作為群集工具之不同區域間之介面的類似腔室,於下方討論。執行此還原製程的示範性負載鎖定腔室描繪於第4圖中。
第4圖描繪用來執行還原製程以自基板之表面移除汙染物的負載鎖定腔室400的一個實施例。負載鎖定腔室400大致包括腔室主體402、第一基板固持件404、第二基板固持件406、溫度控制基座440與加熱器模組470。腔室主體402可由材料(例如,鋁)的單一主體所製成。腔室主體402包括界定腔室空間418之第一側壁408、第二側壁410、頂部414與底部416。通常由石英所構成之窗450配置於腔室主體402之頂部414中並由加熱器模組470至少部分地覆蓋。
腔室空間418的壓力可經控制,以致負載鎖定腔室400可排空以實質上匹配移送室436的環境,並可通風以實質上匹配工廠介面401的環境。此外,如下方進一步所述,腔室空間418的壓力可被控制於促進執行汙染物移除製程之預定範圍中。腔室主體402包括一個或多個通風通道430與泵浦通道432。通風通道430與泵浦通道432配置於腔室主體402的相對端,以在通風與排空過程中在腔室空間418中引發層流以最小化顆粒汙染物。在一個實施例中,兩個通風通道430配置通過腔室主體402的頂部414,而泵浦通道432配置通過腔室主體402的底部416。通道430、432通常耦接至閥 412以選擇性允許流入與流出腔室空間418。
通風通道430可額外地透過閥440耦接至氣體源452以提供氣體混合物進入腔室空間418。在一個實施例中,通風通道430可設置成氣體分配環,其中氣體混合物可由鄰近壁410、408分散通過孔陣列以最佳化流動均勻性。在另一個實施例中,可透過配置於加熱器模組470下方之氣體分配板(未圖示)將氣體混合物供應至負載鎖定腔室400。氣體分配板可由加熱器模組470產生之熱可穿透的材料所製成,以致不實質上干擾配置於基板固持件404、406上之基板的加熱。可由氣體源452供應之氣體實例包括N2、Ar、H2、氦(He)、氧(O2)、臭氧(O3)、水蒸汽(H2O)等等。
在一個實施例中,遠端電漿源(RPS)448可替代地耦接至通風通道430以助於自基板表面移除汙染物。遠端電漿源448提供自氣體源452所提供之氣體混合物形成之電漿至負載鎖定腔室400。在RPS 448存在的實施例中,擴散器(未圖示)可配置於通風通道430的出口處,以促進輸送產生之電漿進入負載鎖定腔室400。
第一負載埠438配置於腔室主體402之第一壁408中,以允許基板424傳送於負載鎖定腔室400與工廠介面401之間,這進一步參照第6圖討論於下。第一狹縫閥444選擇性密封第一負載埠438以隔離負載鎖定腔室400與工廠介面401。第二負載埠439配置於腔室主體402之第二壁410中,以允許基板424傳送於負載鎖定腔室400與移送室436之間,這進一步參照第6圖討論於下。實質上相似於第一狹縫閥444 的第二狹縫閥446選擇性密封第二負載埠439以隔離負載鎖定腔室400與移送室436的真空環境。
第一基板固持件404同心地耦接至第二基板固持件406(即,堆疊於第二基板固持件406的頂部上),第二基板固持件406配置於腔室底部416上。基板固持件404、406通常安裝至環420上,環420耦接至桿482,桿482延伸通過腔室主體402的底部416。一般而言,各個基板固持件404、406係設以保持一個基板。桿482耦接至配置於負載鎖定腔室400外部的舉升機構496,舉升機構496控制腔室主體402中之基板固持件404與406的水平。波紋管484耦接於環420與腔室主體402的底部416之間並圍繞桿482而配置,以提供第二基板固持件406與底部416之間的撓性密封,因而避免自腔室主體402滲漏或滲漏進入腔室主體402,並促進提高與降低基板固持件404、406且未損害連累負載鎖定腔室400中之壓力。
第一基板固持件404被用來固持來自工廠介面401之未經處理的基板,而第二基板固持件406被用來固持自移送室436返回之經處理的基板。由於通風通道430與泵浦通道432的位置,負載鎖定腔室400中之流動在排空與通風過程中是實質上層流狀的,且係設以最小化顆粒汙染物。
上述之製程腔室/負載鎖定腔室使用感應耦合電漿或電容耦合電漿任一者以自基板之表面移除汙染物。在另一個實施例中,製程腔室可使用微波能量源以產生包含還原氣體之電漿(例如,含氫電漿),包含還原氣體之電漿是用來執行 步驟102A的汙染物移除製程。
上述之還原方法通常使用含氫電漿以自基板移除汙染物。另一種自基板之表面移除汙染物的方法是使用氧化製程102B。氧化製程可適合用於矽(Si)與鍺(Ge)表面,但不適合用於自SiGe表面移除汙染物。SiGe表面的氧化會造成表面處的組分擾動(compositional disturbance)。在一個實施例中,執行在室溫與20mTorr下利用感應耦合含氧電漿之氧化製程102B以移除汙染物。在另一個實施例中,在約50與約600℃之間(例如,約400℃)的溫度下執行游離基團氧化製程以移除汙染物。
在另一個實施例中,氧化製程102B利用感應耦合含氧電漿以自基板之表面移除汙染物。含氧電漿中產生之游離基團與/或離子將與配置於基板之表面上的汙染物交互作用,造成汙染物自表面釋出或物理性地自表面移除汙染物。在某些配置中,由於充能含氧氣體原子與基板之表面上發現之汙染物的交互作用,電漿會敲落或造成汙染物自表面釋出。含氧電漿亦可於基板之表面上形成薄氧化物層,薄氧化物層保護表面免於粗糙化。電漿可包含O2與N2,並可遠端地產生電漿。製程溫度可為約250℃,而製程壓力可為約700mTorr。在一個實例中,當將基板維持在約15與約500℃之間的溫度下並將處理區域中之製程壓力維持在700mTorr的壓力下時,可用13.56MHz的RF頻率下之100W與5000W之間的RF功率產生含氧電漿。在此實例中,惰性氣體中之含氧氣體濃度可在2%與100%之間。在一個實施例中,此氧化製程102B 被執行於負載鎖定腔室400中,其中透過配置於通風通道430出口處的石英擴散器導入包含O2與N2之遠端電漿。
回頭參照第1圖,在步驟102,可藉由上述還原102A與/或氧化102B汙染物移除製程之一者移除汙染物。因此,可藉由氧化製程102B、還原製程102A或還原製程102A後接氧化製程102B來移除汙染物。在某些實例中,可藉由執行氧化製程102B後接還原製程102A來移除汙染物。在清潔製程(步驟104)之前,氧化/還原製程102B、102A有助於自Si基板的Si、Ge或SiGe表面移除汙染物(諸如,碳或碳氫化合物)。在某些實例中,無汙染物的表面可包括在步驟102過程中形成或在步驟102之前形成的氧化物層。氧化物層可為上述氧化製程102B的結果,或者為原生氧化物層。在步驟104,利用電漿蝕刻製程進一步清潔基板的表面(例如,移除氧化物層)。在步驟104的至少一部分過程中執行之電漿蝕刻製程可基於氟。
在一個實施例中,電漿蝕刻製程是包含同時暴露基板至NF3與NH3電漿副產物的遠端電漿輔助乾式蝕刻製程。在一個實例中,電漿蝕刻製程可相似於或可包括自Applied Materials,Inc.(Santa Clara,California)取得的SiCoNiTM蝕刻製程。在某些配置中,使用遠端電漿激發氣體物種可允許無電漿傷害的基板製程。遠端電漿蝕刻主要共形且選擇性地朝向氧化矽層,因此不會輕易地蝕刻矽,無論矽是否為非晶、結晶或多晶的。遠端電漿製程通常在移除基板材料時產生生長於基板之表面的固態副產物。接著當基板之溫度提高時, 可透過昇華移除固態副產物。電漿蝕刻製程造成基板表面上具有矽-氫(Si-H)鍵。
在一個實施例中,電漿蝕刻製程可包括流動速率在約1sccm至約20sccm範圍中(例如,約5sccm)的NF3,以及流動速率在約50sccm至約200sccm範圍中(例如,約100sccm)的NH3。可在約5Torr的壓力下執行電漿蝕刻製程,並可利用約30W的RF功率設定來離子化NF3與NH3。接著可藉由在約120℃或更高的溫度下退火基板約5秒至約100秒(例如,約60秒)而自基板之表面昇華副產物。氟基清潔的其他實施例包括讓NH3氣體與F2或無水HF氣體在電漿或熱式加熱任一者中反應以蝕刻SiO2原生氧化物。在15℃至130°C的溫度下,氣流比例的實例將為1:1至1:10氣流比例的氟氣比NH3氣體。
第5圖是可適以執行步驟104之清潔腔室500的示意橫剖面圖。腔室500可特別有用於執行熱式或電漿式氧化製程與/或電漿輔助乾式蝕刻製程。腔室500包括腔室主體512、蓋組件514與支撐組件516。蓋組件514配置於腔室主體512的上端,而支撐組件516至少部分地配置於腔室主體512中。真空系統可被用來自腔室500移除氣體。真空系統包括真空泵浦518,真空泵浦518耦接至配置於腔室主體512中之真空埠521。
蓋組件514包括設以形成電漿空間或空腔於之間的至少兩個堆疊部件。第一電極520垂直地配置於限制電漿空間之第二電極522上。第一電極520連接至功率源524(例如, 射頻(RF)功率供應器),而第二電極522連接至地或源返回(source return),而在第一電極520與第二電極522之間形成電容。蓋組件514亦包括一個或多個氣體入口526,以提供清潔氣體通過阻隔板528與氣體分配板530至基板表面。清潔氣體可為蝕刻劑或離子化的活性游離基團(諸如,離子化的氟、氯或氨)或氧化劑(例如,臭氧)。此外,腔室500包括控制器502以控制腔室500中之製程。
支撐組件516可包括基板支撐件532以在製程過程中支撐基板510於基板支撐件532上。基板支撐件532可經由桿536耦接至致動器534,桿536延伸通過形成於腔室主體512之底部表面中之中央開口。致動器534可藉由波紋管(未圖示)撓性地密封至腔室主體512,以避免自桿536周圍的真空滲漏。致動器534允許基板支撐件532垂直地移動於腔室主體512中且在製程位置與下方傳送位置之間。傳送位置些微低於形成於腔室主體512之側壁中的狹縫閥開口。
基板支撐件532具有平坦或實質上平坦的表面以支撐即將處理的基板於基板支撐件532上。基板支撐件532可藉由致動器534而垂直地移動於腔室主體512中,基板支撐件532經由桿536而耦接至致動器534。運作中,基板支撐件532可升高至相當接近蓋組件514的位置,以控制接受處理之基板510的溫度。因此,可透過自分配板530發射或來自分配板530的對流來加熱基板510。
可利用不同的清潔製程來清潔基板表面。在一個實施例中,透過氣體分配板(例如,噴頭)將包含He與NF3的遠 端電漿導入製程腔室。透過分隔的氣體入口將NH3直接注入腔室。
在製程順序100的一個實例中,可在自Applied Materials,Inc.(Santa Clara,California)取得的SiCoNiTM清潔腔室中執行清潔製程(步驟104)。亦可使用自其他製造商取得的腔室來執行本文所述之實施例。在一個實施例中,可在單一製程腔室(諸如,第2-5圖所示之腔室的一者)中執行步驟102與104兩者。在一個實例中,可在SiCoNiTM清潔腔室中執行步驟102與104兩者。
接著,在步驟106,在執行清潔製程之後,可在基板之表面上形成磊晶矽層。基板之表面沒有汙染物,這改善了後續形成於基板之表面上的磊晶層的品質。在一個實例中,磊晶沉積可為在低於800℃之溫度下執行的選擇性磊晶沉積製程。在此實例中,溫度如此設定以致不超過800℃,好限制若過熱會變形或散開之細微特徵的晶圓熱預算。在一個實施例中,利用高溫(Chemical(Vapor)蒸汽,水蒸汽沉積)化學氣相沉積(CVD)製程來沉積磊晶層。在此熱CVD製程中,使用製程氣體(諸如,二氯矽烷、矽烷、二矽烷、鍺烷、氯化氫、或上述之組合)來沉積磊晶層。製程溫度在800℃下,而製程壓力在5與600Torr之間。當執行步驟102、104與106時,已經減少介面處的汙染物,並形成相對無缺陷的磊晶層。
第6圖描繪根據本發明之實施例可用於完成第1圖中所示之製程順序100的製程系統600。如第6圖中所示,複數個製程腔室602耦接至第一移送室604。第一移送室604 亦耦接至第一組製程腔室606。第一移送室604具有中央位置的傳送機器人(未圖示)以在製程腔室606與製程腔室602之間傳送基板。製程腔室606耦接至第二移送室610,第二移送室610耦接至製程腔室614以移除汙染物(步驟102),並耦接至清潔腔室616以清潔基板(步驟104)。第二移送室610具有中央位置的傳送機器人(未圖示)以在一組負載鎖定腔室612與製程腔室614或清潔腔室616之間傳送基板。工廠介面620經由負載鎖定腔室612連接至第二移送室610。工廠介面620在負載鎖定腔室612的相對側上耦接至一個或多個艙630。艙630通常為可自潔淨室存取的前開式通用艙(FOUP)。
運作過程中,基板首先被傳送至製程腔室614,其中執行還原製程、氧化製程或還原製程後接有氧化製程或相反順序以自基板表面移除汙染物(諸如,碳或碳氫化合物)。汙染物移除製程描述於第1圖中的步驟102。接著,將基板傳送至清潔腔室616,其中執行步驟104。步驟102與步驟104之間的等待時間可為8至12小時。在一個實施例中,步驟102與步驟104之間的等待時間可為2至3小時。等待時間通常定義為在已經於基板上完成第一製程之後且在必須於基板上完成第二製程以避免對製成元件性能某些負面影響之前基板暴露至大氣或其他汙染物的時間。
接著將乾淨基板傳送至一個或多個製程腔室602,其中執行如步驟106所述之磊晶沉積。由於所有三個步驟102、104與106執行於相同製程系統中,當傳送基板至不同腔室時並未破壞真空,這降低汙染的可能性並改善沉積之磊 晶膜的品質。
在另一個實施例中,汙染物移除步驟102被執行於非為包含清潔腔室616與一個或多個製程腔室602之製程系統的一部分的腔室。如第7圖中所示,在製程腔室702中移除基板表面上之汙染物。接著將基板傳送至製程系統700,製程系統700為不具有製程腔室614之製程系統600。將基板傳送至清潔腔室616,其中執行步驟104。接著,將基板傳送至製程腔室602的至少一者,其中執行步驟106。
總結,揭露了在磊晶沉積之前自基板表面移除汙染物且清潔基板的方法。汙染物移除製程可為還原製程、氧化製程或包括還原製程與氧化製程的製程順序。接著,在基板上執行含氟電漿蝕刻以移除氧化物層。由於含氟電漿蝕刻在移除可為碳氫化合物或碳基之汙染物不起作用,在電漿蝕刻之前的移除製程有助於移除汙染物,這轉而改善後續沉積於基板上之磊晶層的品質。
雖然上文針對本發明之實施例,但可設計出本發明的其他與進一步實施例而不悖離本發明之基本範圍,本發明之範圍由後續之申請專利範圍所確定。
100‧‧‧製程順序
102A‧‧‧還原製程
102B‧‧‧氧化製程
102、104、106‧‧‧步驟

Claims (19)

  1. 一種清潔一基板之一表面的方法,包括:自該基板之該表面移除汙染物,其中該些汙染物藉由一還原製程而加以移除;藉由使用一電漿蝕刻製程清潔該基板之該表面,其中在該電漿蝕刻製程過程中應用之製程氣體的至少一者包括氟。
  2. 如請求項1之方法,其中該還原製程利用一感應耦合電漿。
  3. 如請求項2之方法,其中該感應耦合電漿是一含氫電漿。
  4. 如請求項3之方法,其中在一約20mT的壓力下執行該還原製程。
  5. 如請求項3之方法,其中在一約700mT的壓力下執行該還原製程。
  6. 如請求項3之方法,其中該感應耦合電漿是遠端產生的。
  7. 如請求項1之方法,其中該還原製程利用一電容耦合電漿。
  8. 如請求項1之方法,其中該還原製程利用藉由一微波所激發的一電漿。
  9. 如請求項1之方法,更包括一跟隨在該還原製程後的氧化製程以移除該些汙染物。
  10. 如請求項1之方法,更包括跟隨在該電漿蝕刻製程後加熱該基板之該表面。
  11. 一種在一基板之一表面上形成一磊晶層的方法,包括:自該基板之該表面移除汙染物,其中該些汙染物藉由一還原製程而加以移除;藉由使用一含氟電漿蝕刻製程清潔該基板之該表面;及在該基板之該表面上形成一磊晶層。
  12. 如請求項11之方法,其中該還原製程利用一感應耦合電漿。
  13. 如請求項12之方法,其中該感應耦合電漿是一含氫電漿。
  14. 如請求項13之方法,其中在一約20mT的壓力下執行該還原製程。
  15. 如請求項11之方法,其中該還原製程利用一具有含氫氣體的電容耦合電漿。
  16. 如請求項11之方法,其中該還原製程利用一具有含氫氣體且藉由一微波所激發的電漿。
  17. 如請求項11之方法,更包括一跟隨在該還原製程後的氧化製程以移除該些汙染物。
  18. 如請求項11之方法,更包括跟隨在該電漿蝕刻製程後加熱該基板之該表面。
  19. 一種在一基板之一表面上形成一磊晶層的方法,包括:自該基板之該表面移除汙染物,其中該些汙染物藉由一還原製程而加以移除;藉由使用一電漿蝕刻製程清潔該基板之該表面,其中在該電漿蝕刻製程過程中使用之製程氣體的至少一者包括氟;及在該基板之該表面上形成一磊晶層。
TW103127097A 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備 TWI641022B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361864444P 2013-08-09 2013-08-09
US61/864,444 2013-08-09

Publications (2)

Publication Number Publication Date
TW201523694A true TW201523694A (zh) 2015-06-16
TWI641022B TWI641022B (zh) 2018-11-11

Family

ID=52447486

Family Applications (4)

Application Number Title Priority Date Filing Date
TW111143122A TW202316487A (zh) 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備
TW107133961A TWI721321B (zh) 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備
TW110104864A TW202135137A (zh) 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備
TW103127097A TWI641022B (zh) 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW111143122A TW202316487A (zh) 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備
TW107133961A TWI721321B (zh) 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備
TW110104864A TW202135137A (zh) 2013-08-09 2014-08-07 於磊晶成長前預清潔基板表面之方法及設備

Country Status (6)

Country Link
US (4) US9683308B2 (zh)
JP (1) JP6637420B2 (zh)
KR (2) KR102245729B1 (zh)
CN (3) CN110735181A (zh)
TW (4) TW202316487A (zh)
WO (1) WO2015020792A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI687966B (zh) * 2017-08-30 2020-03-11 美商應用材料股份有限公司 處理基板的方法及真空處理系統與設備
TWI742722B (zh) * 2016-09-14 2021-10-11 美商應用材料股份有限公司 用於高深寬比共形自由基氧化的蒸汽氧化反應
TWI745390B (zh) * 2016-09-15 2021-11-11 美商應用材料股份有限公司 減少晶圓釋氣的整合方法
TWI782220B (zh) * 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
TWI785094B (zh) * 2017-08-30 2022-12-01 美商應用材料股份有限公司 整合式磊晶與預清洗系統
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015020792A1 (en) * 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9735009B2 (en) * 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
DE102015101966B4 (de) * 2015-02-11 2021-07-08 Infineon Technologies Austria Ag Verfahren zum Herstellen eines Halbleiterbauelements mit Schottkykontakt und Halbleiterbauelement
KR20180100044A (ko) * 2015-08-17 2018-09-06 온토스 이큅먼트 시스템즈 상압 플라즈마 처리 단계들을 이용한 에피택셜 성장
TWI692799B (zh) 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
JP7190905B2 (ja) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
EP3513428A4 (en) 2016-09-15 2020-06-10 Applied Materials, Inc. INTEGRATED SYSTEM FOR SEMICONDUCTOR PROCESSES
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
CN111433887B (zh) * 2017-12-13 2023-09-29 应用材料公司 具有等离子体脉冲以防止电荷损坏的空间原子层沉积腔室
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10655217B2 (en) * 2018-05-01 2020-05-19 Spts Technologies Limited Method of forming a passivation layer on a substrate
US11018223B2 (en) * 2018-07-20 2021-05-25 Applied Materials, Inc. Methods for forming device isolation for semiconductor applications
JP7114384B2 (ja) * 2018-07-26 2022-08-08 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
JP7099398B2 (ja) * 2019-04-18 2022-07-12 株式会社Sumco 気相成長方法及び気相成長装置
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム
JP7292173B2 (ja) * 2019-10-11 2023-06-16 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
EP4298479A1 (en) * 2021-02-25 2024-01-03 Applied Materials, Inc. Methods and apparatus for ruthenium oxide reduction on extreme ultraviolet photomasks
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
KR20240029768A (ko) 2021-09-03 2024-03-06 어플라이드 머티어리얼스, 인코포레이티드 하나 이상의 압력 안정화 챔버들을 갖는 클러스터 툴들, 시스템들 및 방법들
CN114855270B (zh) * 2022-04-21 2023-07-28 南昌大学 一种类分子束外延设备及薄膜制备方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63297547A (ja) * 1987-05-29 1988-12-05 Nippon Telegr & Teleph Corp <Ntt> 基板清浄化法
JPS63312644A (ja) * 1987-06-15 1988-12-21 Nippon Telegr & Teleph Corp <Ntt> 基板清浄化法
JPS6423538A (en) * 1987-07-20 1989-01-26 Nec Corp Method and equipment for manufacturing semiconductor device
JPH01225127A (ja) * 1988-03-04 1989-09-08 Oki Electric Ind Co Ltd 基板清浄化方法及び基板加熱装置
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
JPH118226A (ja) * 1997-06-17 1999-01-12 Oki Electric Ind Co Ltd 半導体基板表面の清浄化方法及びその装置
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP2000164712A (ja) * 1998-11-27 2000-06-16 Sony Corp 電子装置の製造方法
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US20030062064A1 (en) 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US7390755B1 (en) * 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP4037154B2 (ja) * 2002-04-15 2008-01-23 松下電器産業株式会社 プラズマ処理方法
US6911233B2 (en) 2002-08-08 2005-06-28 Toppoly Optoelectronics Corp. Method for depositing thin film using plasma chemical vapor deposition
KR20040048019A (ko) * 2002-12-02 2004-06-07 주성엔지니어링(주) 실리콘 에피텍셜층 형성방법
KR100483594B1 (ko) * 2002-12-27 2005-04-15 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
CN100533683C (zh) * 2003-04-22 2009-08-26 东京毅力科创株式会社 硅氧化膜的去除方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7524769B2 (en) * 2005-03-31 2009-04-28 Tokyo Electron Limited Method and system for removing an oxide from a substrate
US20090130331A1 (en) * 2005-08-16 2009-05-21 Hitachi Kokusai Electric Inc. Method of Forming Thin Film and Method of Manufacturing Semiconductor Device
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
US20070286956A1 (en) 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
JP2007305730A (ja) * 2006-05-10 2007-11-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US8133325B2 (en) * 2007-05-31 2012-03-13 Ulvac, Inc. Dry cleaning method for plasma processing apparatus
WO2009013034A1 (en) * 2007-07-20 2009-01-29 Interuniversitair Microelektronica Centrum (Imec) Method for providing a crystalline germanium layer on a substrate
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR20110136831A (ko) * 2009-03-05 2011-12-21 어플라이드 머티어리얼스, 인코포레이티드 감소된 계면 오염을 갖는 층들의 증착 방법
FR2949237B1 (fr) * 2009-08-24 2011-09-30 Ecole Polytech Procede de nettoyage de la surface d'un substrat de silicium
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP2013541178A (ja) 2010-08-04 2013-11-07 アプライド マテリアルズ インコーポレイテッド 基板の表面から汚染物質および自然酸化物を除去する方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
WO2015020792A1 (en) * 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI782220B (zh) * 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
TWI817756B (zh) * 2015-09-22 2023-10-01 美商應用材料股份有限公司 清洗方法
TWI742722B (zh) * 2016-09-14 2021-10-11 美商應用材料股份有限公司 用於高深寬比共形自由基氧化的蒸汽氧化反應
US11189485B2 (en) 2016-09-14 2021-11-30 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US11948791B2 (en) 2016-09-14 2024-04-02 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
TWI745390B (zh) * 2016-09-15 2021-11-11 美商應用材料股份有限公司 減少晶圓釋氣的整合方法
TWI687966B (zh) * 2017-08-30 2020-03-11 美商應用材料股份有限公司 處理基板的方法及真空處理系統與設備
TWI785094B (zh) * 2017-08-30 2022-12-01 美商應用材料股份有限公司 整合式磊晶與預清洗系統
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Also Published As

Publication number Publication date
CN105453233B (zh) 2019-10-22
TWI641022B (zh) 2018-11-11
US20190382917A1 (en) 2019-12-19
JP2016528734A (ja) 2016-09-15
TW202316487A (zh) 2023-04-16
CN110735181A (zh) 2020-01-31
CN105453233A (zh) 2016-03-30
US20150040822A1 (en) 2015-02-12
JP6637420B2 (ja) 2020-01-29
TW202135137A (zh) 2021-09-16
US10428441B2 (en) 2019-10-01
US20210010160A1 (en) 2021-01-14
US9683308B2 (en) 2017-06-20
US10837122B2 (en) 2020-11-17
TWI721321B (zh) 2021-03-11
KR20160042010A (ko) 2016-04-18
CN107574476A (zh) 2018-01-12
WO2015020792A1 (en) 2015-02-12
TW201909236A (zh) 2019-03-01
KR102245729B1 (ko) 2021-04-28
KR20210047971A (ko) 2021-04-30
US20180016705A1 (en) 2018-01-18

Similar Documents

Publication Publication Date Title
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US8008166B2 (en) Method and apparatus for cleaning a substrate surface
KR20150059597A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록매체
JP2011517368A (ja) 基板からポリマーを除去するための方法及び装置
US11557486B2 (en) Etching method, damage layer removal method, and storage medium
US20230110474A1 (en) Selective silicon deposition
KR20090119724A (ko) 웨이퍼의 처리 방법 및 장치
KR20240075901A (ko) 선택적 실리콘 증착