WO2007020874A1 - 薄膜形成方法および半導体デバイスの製造方法 - Google Patents

薄膜形成方法および半導体デバイスの製造方法 Download PDF

Info

Publication number
WO2007020874A1
WO2007020874A1 PCT/JP2006/315846 JP2006315846W WO2007020874A1 WO 2007020874 A1 WO2007020874 A1 WO 2007020874A1 JP 2006315846 W JP2006315846 W JP 2006315846W WO 2007020874 A1 WO2007020874 A1 WO 2007020874A1
Authority
WO
WIPO (PCT)
Prior art keywords
thin film
amorphous
film
substrate
tin
Prior art date
Application number
PCT/JP2006/315846
Other languages
English (en)
French (fr)
Inventor
Masayuki Asai
Masayuki Tsuneda
Shinya Sasaki
Original Assignee
Hitachi Kokusai Electric Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc. filed Critical Hitachi Kokusai Electric Inc.
Priority to JP2007530971A priority Critical patent/JP4727667B2/ja
Priority to US11/920,720 priority patent/US20090130331A1/en
Publication of WO2007020874A1 publication Critical patent/WO2007020874A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation

Definitions

  • the present invention relates to a thin film forming method and a semiconductor device manufacturing method, and particularly to a TiN thin film forming method and a semiconductor device manufacturing method used in a semiconductor device manufacturing process.
  • CVD Chemical Vapor Deposition
  • ALD Advanced Layer Deposition
  • the CVD method is a method of depositing on a substrate to be processed a thin film having an element contained in a raw material molecule as a constituent element by utilizing a reaction of a gaseous raw material on the gas phase / surface.
  • MOCVD Metal Organic CVD
  • the CVD method in which thin film deposition is controlled at the atomic layer level is called the ALD method, and this ALD method is characterized by a lower substrate temperature than the conventional CVD method.
  • TiN thin films have been formed by MOCVD in the semiconductor device manufacturing process.
  • TiN films (CVD-TiN films) formed by some MOCVD methods have the function of preventing the diffusion of metals (Al, Cr, Cu) used as wiring and are sometimes called barrier metals.
  • the conventional MOCVD CVD-TiN film has the following problems.
  • the first problem is peeling (microcrack).
  • the exfoliation problem is more likely to occur as the substrate temperature during TiN deposition increases. This is because the stress of the substrate to be processed and the TiN film are significantly different, and it is necessary to reduce the substrate temperature during TiN deposition.
  • the second problem is a grain boundary.
  • the TiN film formed at high substrate temperature is polycrystallized. There is a tendency. Even when TiN is formed at a low temperature, it is likely to be polycrystallized in the same way when it is formed by assisting energy with plasma.
  • the polycrystallized TiN film is called poly-TiN, and the amorphous TiN film is described as a-TiN.
  • the grain boundaries in poly—TiN deteriorate the noria property and cause a variation in electrical resistance. Considering the fact that miniaturization will progress in the future and the design rule will be 65 nm or less, it is necessary to devise some means to avoid polycrystallization.
  • a third problem is a change with time in the resistivity of the TiN film.
  • the TiN film is formed at a lower temperature, and the amount of change over time due to release to the atmosphere is larger. Since the TiN film formed at low temperature has a low film density, it is difficult to prevent the progress of oxidation due to release to the atmosphere.
  • the fourth problem is coverage characteristics. TiN films are formed at lower temperatures, and the density of the film becomes smaller, and the electrical characteristics tend to be worse. On the contrary, the coverage characteristics improve as the temperature decreases. However, in order to increase the electrical resistivity, there is a need for process technology that can achieve both.
  • the main object of the present invention is to provide a thin film forming method and a semiconductor device for forming a TiN film having no crystal grain boundaries that are difficult to peel or having few crystal grain boundaries and little change over time and excellent coverage. It is to provide a method.
  • a main object of the present invention is to provide a thin film forming method for forming a TiN film having a high barrier property and a method for manufacturing a semiconductor device.
  • a thin film forming method for depositing a TiN film on a substrate to be processed by continuously performing the step of removing the TiO thin film on the surface of the thin film.
  • a method for manufacturing a semiconductor device comprising a step of depositing a TiN film on a substrate to be processed by continuously performing the step of removing the TiO thin film on the surface of the thin film.
  • a thin film forming method for forming a TiN film that has no crystal grain boundaries that are difficult to peel off or has few crystal grain boundaries and little change with time and excellent coverage. Moreover, according to this invention, the manufacturing method of a semiconductor device with high barrier property is provided.
  • FIG. 1 is a schematic configuration diagram for explaining a vertical substrate processing furnace according to a preferred embodiment of the present invention, in which a processing furnace portion is shown in a vertical section
  • FIG. It is a schematic block diagram for demonstrating the vertical type
  • a reaction tube 203 made of quartz is provided inside a heater 207 as a heating means as a reaction vessel for processing a wafer 200 as a substrate to be processed, and a lower end opening of the reaction tube 203 is a seal that is a lid.
  • the cap 219 is airtightly closed through an O-ring 220 which is an airtight member.
  • the processing furnace 202 is formed by at least the heater 207, the reaction tube 203, and the paper cap 219. Further, a processing chamber 201 is formed by a reaction tube 203, a seal cap 219, and a buffer chamber 237 described later formed in the reaction tube 203.
  • a boat 217 as a substrate holding means is erected on the seal cap 219 via a quartz cap 218, and the quartz cap 218 serves as a holding body for holding the boat 217. Then, the boat 217 is inserted into the processing furnace 2 02. In the boat 217, a plurality of wafers 200 to be batch-processed are stacked in a horizontal posture in multiple stages in the vertical direction (tube axis direction). The heater 207 heats the wafer 200 inserted into the processing furnace 202 to a predetermined temperature.
  • the processing furnace 202 is provided with three gas supply pipes 331, 333, and 335 as supply pipes for supplying a plurality of types, here, three types of gases.
  • T Tetrakis (Dime thylammo Titanium;) and TDEAT (Tetrakis (Diethyiammo) Titanium) are supplied.
  • a gas supply pipe 332 is connected to the gas supply pipe 331 via a valve 352.
  • the valve 352 switches between the gas supply pipe 331 and the gas supply pipe 332.
  • a gas supply pipe 334 is connected to the gas supply pipe 333 via a valve 354.
  • a valve 354 switches between the gas supply pipe 333 and the gas supply pipe 334.
  • a gas supply pipe 336 is connected to the gas supply pipe 335 via a valve 355. Nore 355 switches between gas supply pipe 335 and gas supply pipe 336.
  • Gas supply pipes 332, 334 and 336 are supplied with N.
  • a mass flow controller 341 is provided in the gas supply pipe 331 upstream of the valve 352, and a mass flow controller 342 is provided in the gas supply pipe 332 upstream of the valve 352.
  • a mass flow controller 343 is provided in the gas supply pipe 333 upstream of the valve 354, and a mass flow controller 344 is provided in the gas supply pipe 334 upstream of the valve 354.
  • a mass flow controller 345 is provided in the gas supply pipe 335 upstream of the valve 355, and a mass flow controller 346 is provided in the gas supply pipe 336 upstream of the valve 355. The flow rate is controlled by the mass flow controllers 341 to 346.
  • the gas supply pipe 331 and the gas supply pipe 333 are connected to the gas supply pipe 337 via the valve 353.
  • the valve 353 switches between the gas supply pipe 331 and the gas supply pipe 333.
  • the gas supply pipe 335 is provided with a valve 356 on the downstream side of the valve 355.
  • a gas is supplied from the gas supply pipe 337 to the processing chamber 201 through a buffer chamber 237 described later formed in the reaction tube 203.
  • a gas is supplied from the gas supply pipe 335 to the processing chamber 201 through a nozzle 362 described later formed in the reaction pipe 203.
  • the processing chamber 201 is connected to a vacuum pump 246 serving as exhaust means via a valve 351 via a gas exhaust pipe 231 serving as an exhaust pipe for exhausting gas, and is evacuated.
  • the valve 351 is an on-off valve that can open and close the valve to stop evacuation / evacuation of the processing chamber 201 and further adjust the pressure by adjusting the valve opening.
  • gas flows along the loading direction of the wafer 200 on the inner wall above the lower portion of the reaction tube 203.
  • a buffer room 237 which is a distributed space, is provided!
  • the gas supply hole 371 opens toward the center of the reaction tube 203.
  • the gas supply holes 371 have the same opening area along the stacking direction of the wafer 200 and the upper force over the predetermined length, and are provided at the same opening pitch.
  • the nozzle 361 is also arranged along the stacking direction of the wafer 200 from the lower part to the upper part of the reaction tube 203. It is installed.
  • a gas supply pipe 335 is connected to the lower part of the nozzle 361.
  • the nozzle 361 is provided with a plurality of gas supply holes 372 which are supply holes for supplying gas.
  • the plurality of gas supply holes 372 are arranged along the stacking direction of the wafer 200 over the same predetermined length as that of the gas supply holes 371.
  • a plurality of gas supply holes 372 and a plurality of gas supply holes 371 are arranged in a one-to-one correspondence.
  • the opening area of the gas supply holes 372 may be the same opening area and the same opening pitch from the upstream side to the downstream side when the differential pressure between the buffer chamber 237 and the processing chamber 301 is small. However, if the differential pressure is large, the opening area should be increased from the upstream side to the downstream side, or the opening pitch should be reduced by / J.
  • the gas ejected from each gas supply hole 372 is ejected from the gas supply hole 371 into the processing chamber 201 after the particle velocity of each gas is reduced in the buffer chamber 237.
  • the gas ejected from each gas supply hole 372 can be a gas having a uniform flow rate and flow velocity when ejected from each gas supply hole 371.
  • a rod-shaped electrode 269 having a slender structure and a rod-shaped electrode 270 are protected by an electrode protection tube 275 which is a protection tube protecting the electrode from the upper part to the lower part.
  • the rod-shaped electrode 270 is connected to a high-frequency power source 273 via a matching device 272, and the rod-shaped electrode 269 is connected to a ground 380 that is a reference potential.
  • plasma is generated in the plasma generation region 224 between the rod-shaped electrode 269 and the rod-shaped electrode 270.
  • the electrode protection tube 275 has a structure in which each of the rod-shaped electrode 269 and the rod-shaped electrode 270 can be inserted into the buffer chamber 237 while being isolated from the atmosphere of the buffer chamber 237.
  • the inside of the electrode protection tube 275 has the same atmosphere as the outside air (atmosphere)
  • the rod-shaped electrode 269 and the rod-shaped electrode 270 inserted into the electrode protection tube 275 are oxidized by the heating of the heater 207. Therefore, the inside of the electrode protection tube 275 is filled or purged with an inert gas such as nitrogen, and an inert gas purge mechanism is provided to prevent oxidation of the rod-shaped electrode 269 or rod-shaped electrode 270 by suppressing the oxygen concentration sufficiently low. .
  • a nozzle 362 is provided on the inner wall of the reaction tube 203 rotated about 100 ° from the position of the gas supply hole 371.
  • the nozzle 362 is a supply unit that shares the notch chamber 237 and the gas supply species when alternately supplying a plurality of types of gases one by one to the UE 200 during film formation by the ALD method.
  • the nozzle 362 has gas supply holes 373 that are gas supply holes at the same pitch at positions adjacent to the wafer, and a gas supply pipe 335 is connected to the lower part. .
  • the gas supply hole 373 may have the same opening area and the same opening pitch from the upstream side to the downstream side. If it is large, it is better to increase the opening area or reduce the opening pitch by applying force from the upstream side to the downstream side.
  • a boat 217 for mounting a plurality of wafers 200 in the vertical direction in multiple stages at the same interval, and this boat 217 is a boat elevator mechanism not shown in the figure.
  • the reaction tube 203 can be entered and exited.
  • a boat rotation mechanism 267 that is a rotation means for rotating the boat 217 is provided. By rotating the boat rotation mechanism 267, the boat held by the quartz cap 218 is provided. 217 starts to rotate.
  • the controller 321 serving as a control means includes a mass flow controller 341 to 346, and a NORB 351.
  • Ascending / descending operation control, power supply control of the high-frequency power source 273, and impedance control by the matching unit 272 are performed.
  • the preferable aspect of this invention is made
  • the amorphous TiN film may crystallize.
  • the surface of the TiN film should be oxidized to form a chemically stable TiO-based oxide film.
  • impurities such as C and H can be mixed into the TiN film. Unnecessary C and H can be removed by modification when densifying the TiN film. By removing the unnecessary TiO film on the surface of the thin film, a TiN film with a large intended film density can be obtained.
  • a method of forming a TiN film according to a preferred embodiment of the present invention includes the following four steps, and a silicon wafer 200 as a substrate to be processed is processed in the order of steps.
  • TiNCH amorphous TiN CH
  • Second step A process where the amorphous TiNCH thin film is exposed to the atmosphere to naturally oxidize the surface.
  • Third step An impurity (C, H) in the film is removed and densified by plasma treatment.
  • Fourth step a step of removing the TiO thin film on the surface of the thin film
  • First step Formation of amorphous TiNCH thin film
  • Deposition materials are TDMAT (Tetrakis (Dimethylamino) Titanium: Ti (N (CH))) and TDEA
  • step A1 is composed of the following processes. It is advisable to implement appropriately according to the surface condition of the substrate to be processed.
  • Plasma surface treatment plasma surface oxidation treatment, plasma surface reduction treatment
  • the surface treatment gas is introduced into the pressure-reduced reaction tube 203 from the nozzle 361, and the high-frequency power source 273 generates a discharge between the rod-shaped electrode 269 and the rod-shaped electrode 270 so that the plasma is buffered in the buffer chamber 237. It is a process to generate in.
  • the plasma-treated surface treatment gas is irradiated onto the substrate surface via the gas supply hole 371 provided in the buffer chamber 237.
  • This process is a process for removing impurities adhering to the substrate surface after performing the above processes (1) and (2), and is performed while rotating the wafer 200 by the boat rotating mechanism 267. Good.
  • the surface treatment gas during the plasma surface oxidation treatment is mainly O, which is a reformed gas that acts as an oxidizing agent.
  • the surface treatment gas during the plasma surface reduction treatment is mainly H, which acts as a reducing agent.
  • both the plasma surface oxidation treatment and the plasma surface reduction treatment are performed.
  • the plasma surface reduction treatment is first performed, and then the plasma surface oxidation treatment is performed.
  • there is a case for example, when the reduction is completed, in the case where it is not necessary to oxidize the substrate surface which is only required to be oxidized, only the reduction is performed.
  • the heat treatment is started by inserting the boat 217 into the reaction tube 203.
  • the temperature of the reaction tube 203 is controlled to be constant by the heater 207, and the wafer 200 can be heated and maintained at a predetermined temperature.
  • the maintenance temperature is preferably a film formation temperature that matches the film formation raw material as described later.
  • steps B1 to B4 by the ALD method is performed to form an amorphous Ti NCH thin film on the substrate.
  • the film-forming material is TDMAT: Ti (N (CH)), the film-forming temperature (substrate temperature) is 100
  • this temperature range differs depending on the film forming material used.
  • the film forming raw material irradiation process in step B1 is a process of attaching the film forming raw material to the surface of the substrate to be processed.
  • the inert gas purge process in step B2 is a process for homogenizing the deposited film forming material.
  • the reformed gas irradiation process in Step B3 is a process of depositing an amorphous TiNCH thin film at the atomic layer level by reacting the deposited film forming material and the reformed gas.
  • the inert gas purge process in step B4 is a process for removing the reaction by-products generated in step B3 from the reaction chamber.
  • the reformed gas used in the reformed gas irradiation process in Step B3 is non-plasma, and H
  • reformed gas containing H is good, and NH, N, and Ar are also acceptable.
  • the amorphous TiNCH thin film formed by repeating the processes from Step B1 to B4 is in an amorphous state containing Ti, N, C, and H, and surface oxidation proceeds easily in the atmosphere containing moisture. To do.
  • the processing from steps B1 to B4 is repeated until the thickness of the amorphous TiNCH thin film reaches a predetermined thickness.
  • the film thickness of the amorphous TiNCH thin film is preferably about 5 to 20 nm assuming the removal of impurities described later.
  • the electrical resistivity is preferably about 0.01 to 1000 Q cm on average, and if it becomes TiN of 0.01 ⁇ cm or less at this point, it will be polycrystallized. Therefore, the reforming effects of the second to fourth processes, which are the subsequent processes, are difficult to obtain and are inappropriate. Further, in the processing of steps B2 to B3, the reformed gas may be excited using weak plasma, but it is difficult to prevent polycrystallization.
  • the plasma treatment is the same as the plasma surface treatment described above.
  • the termination process includes a temperature lowering process and an unloading process.
  • the temperature lowering process is a process of lowering the temperature of the reaction tube 203 to a predetermined temperature.
  • the unloading process is a process of unloading the substrate to be processed on which the amorphous thin film is formed from the processing furnace 202 together with the boat 217.
  • the second step "the process of exposing the amorphous TiNCH thin film to the atmosphere to naturally oxidize the surface” is a process for uniformly performing this oxidation process. That is, in the second step, the substrate to be processed is placed in an air atmosphere in which the moisture concentration is controlled, and the substrate temperature is kept at a constant temperature of about 50 ° C., and the air oxidation treatment is performed for a predetermined time. .
  • Figure 4 shows the state of oxidation in the second process. An amorphous TiNCH 2 O thin film is formed on the surface of the amorphous TiNCH thin film.
  • a third step is subsequently performed on the thin film in the state as shown in FIG.
  • the third step consists of a process for removing impurities (C, H) in the film by plasma treatment of the substrate surface and a process for densifying the amorphous thin film, both of which are performed simultaneously by the plasma treatment shown below. Can be advanced.
  • the plasma treatment in the third step is performed using a plasma treatment apparatus 400 whose outline is shown in FIG.
  • the plasma processing apparatus 400 includes parallel plate type electrodes 403 and 404 facing each other, the electrode 404 is grounded, and the electrode 403 is connected to a high-frequency power source 401 via a matching unit 402.
  • a silicon wafer 200 as a substrate is placed on the electrode 404.
  • a high frequency power is applied between the electrodes 403 and 404 by the high frequency power supply 401, and a plasma 405 is generated between the electrodes 403 and 404 so that the plasma 405 is in contact with the wafer 200.
  • the reformed gas excited by plasma is H.
  • a reformed gas containing H is good. Also,
  • the surface may be nitrided by NH plasma treatment.
  • the step of removing the TiO thin film on the surface of the thin film in the fourth step which is the final step, carry out.
  • This step is a step of removing the amorphous TiO film formed on the substrate surface after the third step.
  • This treatment is a normal acid cleaning treatment.
  • the amorphous TiO film on the surface can be easily removed by exposing the substrate to an aqueous solution such as HF for a predetermined time while keeping the substrate temperature constant. As shown in Fig. 6, a dense amorphous TiN film remains on the substrate.
  • the second to fourth steps of post-processing are performed by mixing a gas containing Si atoms, for example, SiH, in the reformed gas at the time of step B3 in the first step.
  • a gas containing Si atoms for example, SiH
  • the amorphous TiNCH thin film is formed in the first step in this embodiment, the amorphous TiNCH is also formed on the inner wall of the boat 217 and the reaction tube 203.
  • the force film itself is an amorphous thin film with low density. Self-cleaning with NF gas
  • the cleaning site of the film forming apparatus can be extended and the maintainability can be improved.
  • Measures such as improving the corrosion resistance of the device itself, such as when cleaning a dense TiN film, are no longer necessary, and the cost of the device can be reduced and the economy can be improved.
  • amorphous TiN film that has excellent coverage and is highly peelable and that is difficult to peel off.
  • a dense amorphous TiN film with very little change can be formed.
  • a thin film formation is performed in which an amorphous thin film composed mainly of Ti, N, C, and H is formed, and a surface of the thin film is oxidized. Including methods. Since an amorphous thin film composed mainly of Ti, N, C, and H is formed, it is easy to oxidize amorphous thin films.
  • a method of forming a thin film comprising performing steps of removing C and H as impurities in the thin film by plasma treatment, densifying the thin film, and removing the TiO thin film on the thin film surface Including.
  • the surface of the amorphous thin film is oxidized and TiO-based Since it is protected by the oxide film, polycrystallization of the amorphous thin film can be suppressed during densification by plasma treatment.
  • impurities C and H are removed by plasma treatment.
  • unnecessary TiO thin film is removed, a dense TiN thin film can be obtained.
  • a thin film forming method for depositing a TiN film on a substrate to be processed is carried out by successively performing the above thin film forming step, oxidation step, impurity removal / densification step, and TiO thin film removal step. Since the above steps are performed continuously, forming the thin film at a low temperature makes it difficult to peel off and provides excellent coverage, and there is no crystal grain boundary due to the acidity of the amorphous thin film, or there are few crystal grain boundaries, and the thin film is more dense. TiN film with little change with time can be formed.
  • a first gas containing Ti and a second gas containing a reformed gas are alternately and repeatedly supplied to a substrate to be processed a predetermined number of times.
  • the amorphous thin film can be formed at a lower temperature, thereby forming a TiN film that is less peelable and has excellent coverage. can do.
  • a third aspect is a thin film forming method according to the second aspect, wherein the second gas is a gas containing Si.
  • a fourth aspect is the method of forming a thin film according to the third aspect, wherein the gas containing Si is SiH.
  • a TiN film having no boundaries or few crystal grain boundaries can be formed.
  • a fifth aspect is the thin film forming method according to the first aspect, wherein the average electrical resistivity of the thin film formed in the step of forming the amorphous thin film is 0.01 to L000 ⁇ cm.
  • the average electrical resistivity of the thin film is from 0.01 to: LOOO Q cm, it is easy to obtain an amorphous thin film that is difficult to crystallize. Therefore, there are few crystal grain boundaries or few crystal grain boundaries. A film can be formed.
  • a sixth aspect is a thin film forming method according to the first aspect, wherein the TiN film deposited on the substrate to be processed is an amorphous TiN film.
  • the TiN film deposited on the substrate to be processed is an amorphous TiN film, a TiN film having fewer crystal grain boundaries or fewer crystal grain boundaries can be formed.
  • a seventh aspect is the thin film forming method according to the first aspect, wherein in the oxidation step, the surface of the thin film is spontaneously oxidized in an air atmosphere!
  • the amorphous thin film is composed mainly of Ti, N, C, and H, the surface of the thin film can be easily oxidized naturally in the air atmosphere. TiN film with less can be formed.
  • a gas containing H excited by the plasma Is a method of forming a thin film, which is supplied to the oxidized surface.
  • a ninth aspect is the thin film forming method according to the eighth aspect, further comprising a step of nitriding the surface of the thin film after the densifying step.
  • a tenth aspect is a thin film forming method according to the first aspect, wherein in the step of removing the TiO thin film, the TiO thin film is removed with an acid-based aqueous solution.
  • the TiO thin film is an amorphous TiO thin film, the TiO thin film can be easily removed with an acid-based aqueous solution.
  • An eleventh aspect includes a step of forming an amorphous thin film composed mainly of Ti, N, C, and H, a step of oxidizing the surface of the thin film, and an impurity in the thin film by plasma treatment.
  • the TiN film is deposited on the substrate to be treated by continuously performing the steps of removing C and H, and densifying the thin film, and removing the TiO thin film on the surface of the thin film. It is a manufacturing method of a semiconductor device provided with a process.
  • Forming a thin film at a low temperature makes it difficult to peel off and has excellent coverage, and there is no crystal grain boundary due to the acidity of the amorphous thin film or there are few crystal grain boundaries.
  • TiN film with less content can be formed, thus improving the barrier property.
  • FIG. 1 is a schematic longitudinal sectional view for explaining a vertical substrate processing furnace of a substrate processing apparatus according to a preferred embodiment of the present invention.
  • FIG. 2 is a schematic cross-sectional view for explaining a vertical substrate processing furnace of a substrate processing apparatus according to a preferred embodiment of the present invention.
  • FIG. 3 is a flowchart for explaining a process of forming an amorphous TiNCH thin film, which is a first process of a preferred embodiment of the present invention.
  • FIG. 4 is a schematic longitudinal sectional view for explaining the state of oxidation of an amorphous TiNCH thin film according to the second step of a preferred embodiment of the present invention.
  • FIG. 5 is a schematic longitudinal sectional view for explaining the plasma processing apparatus used in the third step of the preferred embodiment of the present invention.
  • FIG. 6 is a schematic longitudinal sectional view for explaining the manner in which the TiO film is removed by the fourth step of the preferred embodiment of the present invention.
  • FIG. 7 is a flowchart for explaining another example of the step of forming the amorphous TiNCH thin film, which is the first step of the preferred embodiment of the present invention.

Abstract

 Ti、N、C、Hを主成分として構成されるアモルファス薄膜を形成する工程と、該薄膜の表面を酸化する工程と、プラズマ処理により薄膜中の不純物であるCおよびHを除去し、および前記薄膜を緻密化する工程と、前記薄膜表面のTiO薄膜を除去する工程と、を連続して実施することにより被処理基板上にTiN膜を堆積する。

Description

明 細 書
薄膜形成方法および半導体デバイスの製造方法
技術分野
[0001] 本発明は、薄膜形成方法および半導体デバイスの製造方法に関し、特に、半導体 デバイス製造工程に用いる TiN薄膜形成方法および半導体デバイスの製造方法に 関するものである。
背景技術
[0002] 半導体デバイス製造工程の 1つに CVD (Chemical Vapor Deposition)法や A LD (Atomic Layer Deposition)法を用いて基板上に所定の成膜を行う成膜ェ 程がある。 CVD法とは、ガス状原料の気相 ·表面での反応を利用して、原料分子に 含まれる元素を構成要素とする薄膜を被処理基板上へ堆積する方法である。 CVD 法のなかで、有機原料を利用するものは MOCVD (Metal Organic CVD)法と呼 ばれる。また、 CVD法のなかで薄膜堆積が原子層レベルで制御されるものは ALD 法と呼ばれ、この ALD法は従来の CVD法に対して基板温度が低 、ことが大きな特 徴である。
[0003] 従来、半導体デバイス製造工程において MOCVD法による TiN薄膜の形成が行 われている。一部の MOCVD法によって形成された TiN膜 (CVD— TiN膜)は、配 線として利用される金属 (Al、 Cr、 Cu)の拡散を防ぐ機能があるため、バリアメタルと 呼ばれる場合もある。
発明の開示
発明が解決しょうとする課題
[0004] しかしながら、従来の MOCVD法による CVD— TiN膜は、以下に示すような問題 がある。
[0005] 第 1の問題は、剥離 (マイクロクラック)である。剥離問題は、 TiN堆積時の基板温度 が高いほど発生しやすい。これは、被処理基板と TiN膜の応力が大幅に異なるため であり、 TiN堆積時の基板温度の低減が必要である。
[0006] 第 2の問題は、結晶粒界である。高 、基板温度で形成される TiN膜は、多結晶化し やす 、傾向がある。低温で TiNを形成する場合でもプラズマでエネルギーをアシスト して形成する場合は同様に多結晶化しやすくなる。多結晶化した TiN膜を poly— Ti Nと呼び、アモルファス状態の TiN膜は a— TiNと記載する。 poly— TiN中の結晶粒 界は、ノリア性を低下させたり、電気的抵抗値のバラツキ原因となったりしゃすい。将 来にわたって微細化が進み、デザインルールが 65nm以下となることを考慮すれば、 多結晶化させな 、ための何らかの工夫が必要となって 、る。
[0007] 第 3の問題は、 TiN膜の抵抗率の経時変化である。 TiN膜は低温で形成されるもの ほど、大気開放による経時変化量が大きい。低温で形成した TiN膜は膜密度が小さ くなるため、大気開放による酸ィ匕の進行を防ぐことが困難である。
[0008] 第 4の問題は、カバレッジ特性である。 TiN膜は低温で形成されるものほど膜密度 力 、さくなり、その電気特性が悪くなる傾向がある力 逆に、低温ィ匕に従ってカバレツ ジ特性は向上する。しかし、電気的抵抗率の上昇を招くため、両者を両立できるプロ セス技術が求められて 、る。
[0009] 本発明の主な目的は、剥離しにくぐ結晶粒界がなくあるいは結晶粒界が少なぐ 経時変化が少なぐカバレッジに優れる TiN膜を形成する薄膜形成方法および半導 体デバイスの製造方法を提供することにある。
また、本発明の主な目的は、バリア性の高い TiN膜を形成する薄膜形成方法およ び半導体デバイスの製造方法を提供することにある。
課題を解決するための手段
[0010] 本発明によれば、
Ti、 N、 C、 Hを主成分として構成されるアモルファス薄膜を形成する工程と、 該薄膜の表面を酸化する工程と、
プラズマ処理により前記薄膜中の不純物である Cおよび Hを除去し、および前記薄 膜を緻密化する工程と、
前記薄膜表面の TiO薄膜を除去する工程と、を連続して実施することにより被処理 基板上に TiN膜を堆積する薄膜形成方法が提供される。
[0011] また、本発明によれば、
Ti、 N、 C、 Hを主成分として構成されるアモルファス薄膜を形成する工程と、 該薄膜の表面を酸化する工程と、
プラズマ処理により前記薄膜中の不純物である Cおよび Hを除去し、および前記薄 膜を緻密化する工程と、
前記薄膜表面の TiO薄膜を除去する工程と、を連続して実施することにより被処理 基板上に TiN膜を堆積する工程を備える半導体デバイスの製造方法が提供される。 発明の効果
[0012] 本発明によれば、剥離しにくぐ結晶粒界がなくあるいは結晶粒界が少なぐ経時 変化が少なぐカバレッジに優れる TiN膜を形成する薄膜形成方法が提供される。 また、本発明によれば、バリア性の高い半導体デバイスの製造方法が提供される。 発明を実施するための最良の形態
[0013] 次に、本発明の好ましい実施例を説明する。
[0014] 図 1は、本発明の好ましい実施例に力かる縦型の基板処理炉を説明するための概 略構成図であり、処理炉部分を縦断面で示し、図 2は、本発明の好ましい実施例に カゝかる縦型の基板処理炉を説明するための概略構成図であり、処理炉部分を横断 面で示す。
[0015] 加熱手段であるヒータ 207の内側に、被処理基板であるウェハ 200を処理する反 応容器として石英製の反応管 203が設けられ、この反応管 203の下端開口は蓋体で あるシールキャップ 219により気密部材である Oリング 220を介して気密に閉塞されて 、る。少なくとも、ヒータ 207、反応管 203、及びシーノレキャップ 219により処理炉 202 を形成している。また、反応管 203、シールキャップ 219および反応管 203内に形成 された後述するバッファ室 237により処理室 201を形成している。シールキャップ 219 には石英キャップ 218を介して基板保持手段であるボート 217が立設され、石英キヤ ップ 218はボート 217を保持する保持体となっている。そして、ボート 217は処理炉 2 02に挿入される。ボート 217にはバッチ処理される複数のウェハ 200が水平姿勢で 垂直方向(管軸方向)に多段に積載される。ヒータ 207は処理炉 202に挿入されたゥ ェハ 200を所定の温度に加熱する。
[0016] そして、処理炉 202へは複数種類、ここでは 3種類のガスを供給する供給管として の 3本のガス供給管 331、 333、 335が設けられている。ガス供給管 331からは NH が供給され、ガス供給管 333からは SiHが供給され、ガス供給管 335からは TDMA
4
T (Tetrakis (Dime thylammo Titanium;や TDEAT (Tetrakis (Diethyiammo ) Titanium)が供給される。
[0017] ガス供給管 331には、バルブ 352を介してガス供給管 332が接続されている。バル ブ 352によりガス供給管 331とガス供給管 332との間で切り替えが行われる。ガス供 給管 333には、バルブ 354を介してガス供給管 334が接続されている。バルブ 354 によりガス供給管 333とガス供給管 334との間で切り替えが行われる。ガス供給管 33 5には、バルブ 355を介してガス供給管 336が接続されている。ノ レブ 355によりガ ス供給管 335とガス供給管 336との間で切り替えが行われる。ガス供給管 332、 334 、 336力ゝらは Nが供給される。
2
[0018] バルブ 352の上流側のガス供給管 331にはマスフローコントローラ 341が設けられ 、バルブ 352の上流側のガス供給管 332にはマスフローコントローラ 342が設けられ ている。バルブ 354の上流側のガス供給管 333にはマスフローコントローラ 343が設 けられ、バルブ 354の上流側のガス供給管 334にはマスフローコントローラ 344が設 けられている。バルブ 355の上流側のガス供給管 335にはマスフローコントローラ 34 5が設けられ、バルブ 355の上流側のガス供給管 336にはマスフローコントローラ 34 6が設けられている。マスフローコントローラ 341〜346により流量制御が行われる。
[0019] ガス供給管 331とガス供給管 333とはバルブ 353を介してガス供給管 337に接続さ れて 、る。バルブ 353によりガス供給管 331とガス供給管 333との間で切り替えが行 われる。
ガス供給管 335にはバルブ 355の下流側にバルブ 356が設けられている。
[0020] ガス供給管 337からは、反応管 203内に形成された後述するバッファ室 237を介し て処理室 201にガスが供給される。ガス供給管 335からは、反応管 203内に形成さ れた後述するノズル 362を介して処理室 201にガスが供給される。
[0021] 処理室 201は、ガスを排気する排気管であるガス排気管 231によりバルブ 351を介 して排気手段である真空ポンプ 246に接続され、真空排気されるようになっている。 尚、このバルブ 351は、弁を開閉して処理室 201の真空排気 ·真空排気停止ができ 、更に弁開度を調節して圧力調整可能になっている開閉弁である。 [0022] 処理室 201を構成している反応管 203の内壁とウェハ 200との間における円弧状 の空間には、反応管 203の下部より上部の内壁にウェハ 200の積載方向に沿って、 ガス分散空間であるバッファ室 237が設けられて!/、る。バッファ室 237のウェハ 200と 隣接する内側の壁の端部近傍にはガスを供給する供給孔であるガス供給孔 371が 設けられている。このガス供給孔 371は反応管 203の中心へ向けて開口している。こ のガス供給孔 371は、ウェハ 200の積載方向に沿って下部力も上部に所定の長さに わたってそれぞれ同一の開口面積を有し、更に同じ開口ピッチで設けられている。
[0023] そしてバッファ室 237のガス供給孔 371が設けられた端部と反対側の端部近傍に は、ノズル 361が、やはり反応管 203の下部より上部にわたりウェハ 200の積載方向 に沿って配設されている。ノズル 361の下部にはガス供給管 335が接続されている。 また、ノズル 361にはガスを供給する供給孔であるガス供給孔 372が複数設けられ ている。複数のガス供給孔 372は、ガス供給孔 371の場合と同じ所定の長さにわたつ てウェハ 200の積載方向に沿って配設されている。そして、複数のガス供給孔 372と 複数のガス供給孔 371とをそれぞれ 1対 1で対応させて配置している。
[0024] また、ガス供給孔 372の開口面積は、バッファ室 237と処理室 301との差圧が小さ い場合には、上流側から下流側まで同一の開口面積で同一の開口ピッチとすると良 いが、差圧が大きい場合には上流側から下流側に向かって開口面積を大きくするか 、開口ピッチを/ J、さくすると良い。
[0025] ガス供給孔 372の開口面積や開口ピッチを上流側から下流にかけて調節すること で、まず、各ガス供給孔 372よりガスの流速の差はある力 流量はほぼ同量であるガ スを噴出させる。そしてこの各ガス供給孔 372から噴出するガスをバッファ室 237に 噴出させてー且導入し、ガスの流速差の均一化を行うことができる。
[0026] すなわち、バッファ室 237において、各ガス供給孔 372より噴出したガスはバッファ 室 237で各ガスの粒子速度が緩和された後、ガス供給孔 371より処理室 201に噴出 する。この間に、各ガス供給孔 372より噴出したガスは、各ガス供給孔 371より噴出す る際には、均一な流量と流速とを有するガスとすることができる。
[0027] さらに、バッファ室 237に、細長い構造を有する棒状電極 269及び棒状電極 270が 上部より下部にわたって電極を保護する保護管である電極保護管 275に保護されて 配設され、棒状電極 270は整合器 272を介して高周波電源 273に接続され、棒状電 極 269は基準電位であるアース 380に接続されている。この結果、棒状電極 269及 び棒状電極 270間のプラズマ生成領域 224にプラズマが生成される。
[0028] この電極保護管 275は、棒状電極 269及び棒状電極 270のそれぞれをバッファ室 237の雰囲気と隔離した状態でバッファ室 237に挿入できる構造となっている。ここ で、電極保護管 275の内部は外気 (大気)と同一雰囲気であると、電極保護管 275に それぞれ挿入された棒状電極 269及び棒状電極 270はヒータ 207の加熱で酸化さ れてしまう。そこで、電極保護管 275の内部は窒素などの不活性ガスを充填あるいは パージし、酸素濃度を充分低く抑えて棒状電極 269又は棒状電極 270の酸化を防 止するための不活性ガスパージ機構が設けられる。
[0029] さらに、ガス供給孔 371の位置より、反応管 203の内周を 100° 程度回った内壁に 、ノズル 362が設けられている。このノズル 362は、 ALD法による成膜においてゥェ ノ、 200へ、複数種類のガスを 1種類ずつ交互に供給する際に、ノ ッファ室 237とガス 供給種を分担する供給部である。
[0030] このノズル 362もバッファ室 237と同様にウェハと隣接する位置に同一ピッチでガス を供給する供給孔であるガス供給孔 373を有し、下部ではガス供給管 335が接続さ れている。
[0031] ガス供給孔 373の開口面積はバッファ室 237と処理室 201の差圧が小さい場合に は、上流側から下流側まで同一の開口面積で同一の開口ピッチとすると良いが、差 圧が大きい場合には上流側から下流側に向力つて開口面積を大きくするか開口ピッ チを小さくすると良い。
[0032] 反応管 203内の中央部には複数枚のウェハ 200を多段に同一間隔で鉛直方向に 載置するボート 217が設けられており、このボート 217は図中省略のボートエレべ一 タ機構により反応管 203に出入りできるようになつている。また処理の均一性を向上 するためにボート 217を回転するための回転手段であるボート回転機構 267が設け てあり、ボート回転機構 267を回転することにより、石英キャップ 218に保持されたボ ート 217を回転するようになって 、る。
[0033] 制御手段であるコントローラ 321は、マスフローコントローラ 341〜346、 ノ レブ 351 〜356、ヒータ 207、真空ポンプ 246、ボート回転機構 267、図中省略のボートエレ ベータ機構、高周波電源 273、整合器 272に接続されており、マスフローコントローラ 341〜346の流量調整、ノ レブ 352〜355の切替動作、バルブ 356の開閉動作、バ ルブ 351の開閉及び圧力調整動作、ヒータ 207の温度調節、真空ポンプ 246の起動 •停止、ボート回転機構 267の回転速度調節、図中省略のボートエレベータ機構の 昇降動作制御、高周波電源 273の電力供給制御、整合器 272によるインピーダンス 制御が行われる。
[0034] 次に、本発明の好ましい実施例により TiN膜を成膜する方法について説明する。
本発明の好ましい態様は、次のような知見に基づいてなされたものである。膜密度 の大きなアモルファス TiN膜を得るには膜を緻密化する必要がある。プラズマ処理に より緻密化する際、アモルファス TiN膜が結晶化するおそれがある。アモルファス TiN 膜の多結晶化を抑えるには、 TiN膜の表面を酸ィ匕して化学的に安定な TiO系の酸 化膜を形成すればよい。アモルファス TiN膜を容易に酸ィ匕させるようにするには、 Ti N膜に C、 Hなどの不純物を混入すればよい。不要な C、 Hは、 TiN膜を緻密化する 際、改質により除去すればよい。薄膜表面の不要な TiO膜を除去すれば、意図する 膜密度の大きな TiN膜が得られる。
[0035] 本発明の好ましい実施例による TiN膜を成膜する方法は、以下の 4つの工程で構 成され、被処理基板であるシリコンウェハ 200は工程順に処理される。
第 1の工程:アモルファス TiN C H (以下、単に TiNCHと称す)薄膜を形成するェ 程
第 2の工程:アモルファス TiNCH薄膜を大気に曝して表面を自然酸化させる工程 第 3の工程:プラズマ処理により膜中不純物(C、 H)を除去し、および緻密化するェ 程
第 4の工程:該薄膜表面の TiO薄膜を除去する工程
[0036] 上記 4つの工程により、基板表面に緻密で、剥離しにくぐ経時変化が少なぐカバ レツジ特性が優れたアモルファス TiN薄膜を形成することができる。以下に、各工程 にお!/ヽて、どのようにして TiN薄膜が形成されるかを説明する。
[0037] 第 1の工程:アモルファス TiNCH薄膜の形成 この工程においては、例えば上述した 図 1、図 2に示される装置を用いる。成膜原 料は TDMAT (Tetrakis (Dimethylamino) Titanium: Ti (N (CH ) ) )や TDEA
3 2 4
T (Tetrakis (Diethylamino) Titanium: Ti (N (C H ) ) )、改質ガスは NH、 SiH
2 5 2 4 3
、 H、 N、 Arなどである。本工程における、基板処理フローの一例を図 3に示す。
4 2 2
[0038] 図 1、図 2に示す装置において、被処理基板をボート 217に積載したのち、ボート 2 17を反応管 203の中に挿入し、基板表面処理と加熱処理を開始する (ステップ A1) 。このステップ A1の処理は、以下の処理で構成される。被処理基板の表面状態に応 じて適切に実施すると良い。
[0039] (1)減圧処理
真空ポンプ 246により反応管 203内の圧力を下げることで、基板表面に付着した不 純物を離脱させる。
[0040] (2)不活性ガスサイクルパージ処理
ノズル 361を経由して減圧処理されて!ヽる反応管 203内に不活性ガスを定期的に 導入して、基板表面に付着する不純物を、不活性ガス中に溶け込ませて除去する処 理である。この処理は、基板を過熱しながら実施すると良い。
[0041] (3)プラズマ表面処理 (プラズマ表面酸化処理、プラズマ表面還元処理)
この処理は、減圧された反応管 203に対してノズル 361より表面処理ガスを導入し ながら、高周波電源 273により、棒状電極 269と棒状電極 270の間に放電を発生さ せてプラズマをバッファ室 237内に発生させる処理である。この処理により、プラズマ 処理された表面処理ガスがバッファ室 237に設けられたガス供給孔 371を経由して、 基板表面上に照射される。本処理は、前記の(1)、(2)の処理を実施後、さらに基板 表面に付着する不純物を除去するための処理であり、ボート回転機構 267によりゥェ ノ、 200を回転させながら実施すると良い。なお、プラズマ表面酸化処理時の表面処 理ガスは主に Oであり、酸化剤としての作用を有する改質ガスである。これに対して
2
、プラズマ表面還元処理時の表面処理ガスは主に Hであり、還元剤としての作用を
2
有する改質ガスのことである。
[0042] 基本的にはプラズマ表面酸化処理およびプラズマ表面還元処理の両方を行うが、 その場合にはプラズマ表面還元処理をまず行 ヽ、その後プラズマ表面酸ィ匕処理を行 [0043] ただし、どちらか一方でょ 、場合もあり、例えば、還元が終わって 、る場合は酸化だ けでよぐ基板表面を酸ィ匕したくないときには、還元のみを行う。
[0044] 加熱処理は、ボート 217を反応管 203に挿入することによって開始される。ヒータ 20 7により反応管 203の温度が一定に制御されており、ウェハ 200は加熱されて、所定 温度に維持することができる。その維持温度は、後述のように成膜原料に合わせた成 膜温度が望ましい。
[0045] 次に、 ALD法によるステップ B1〜B4の処理を実施して、基板上にアモルファス Ti NCH薄膜を形成する。
[0046] 成膜原料が TDMAT:Ti(N (CH ) ) である場合は、成膜温度 (基板温度)は 100
3 2 4
〜200°Cが好ましぐこの温度帯において基板上に形成されている回路パターン上 にカバレッジ良く薄膜を形成できるためである。使用する成膜原料によって、この温 度帯は相違して 、ることは言うまでもな 、。
[0047] ステップ B1の成膜原料照射処理は、被処理基板表面に成膜原料を付着させる処 理である。ステップ B2の不活性ガスパージ処理は、付着した成膜原料の均一化を図 る処理である。ステップ B3の改質ガス照射処理は、付着した成膜原料と改質ガスを 反応させて、原子層レベルのアモルファス TiNCH薄膜を堆積する処理である。ステ ップ B4の不活性ガスパージ処理は、ステップ B3にお!/、て発生した反応副生成物を 反応室から除去するための処理である。
ステップ B3の改質ガス照射処理で使用する改質ガスは、ノンプラズマであって、 H
2 あるいは、 Hを含む改質ガスが良ぐまた NH、 N、 Arでも良い。
2 3 2
[0048] ステップ B1〜B4までの処理の繰り返しで形成されるアモルファス TiNCH薄膜は、 Ti、 N、 C、 Hを含むアモルファス状態になっており、水分を含む大気中にて容易に 表面酸化が進行する。
[0049] ステップ B1〜B4までの処理は、アモルファス TiNCH薄膜の膜厚が所定膜厚にな るまで繰り返される。アモルファス TiNCH薄膜の膜厚は、後述の不純物除去を想定 して 5〜20nm程度が好ましい。その電気的抵抗率は、平均値で 0. 01~1000 Q c m程度が望ましぐこの時点で 0. 01 Ω cm以下の TiNとなった場合は多結晶化して おり、後工程となる第 2から第 4の工程の改質効果は得られがたくなるため不適切で ある。また、ステップ B2〜B3の処理において、弱いプラズマを用いて改質ガスを励 起しても良いが、多結晶化することを防ぐのは難しい。そのプラズマ処理は、前記の プラズマ表面処理と同様である。
アモルファス TiNCH薄膜の膜厚が処理膜厚になったら、第 1の工程の終了処理が 行われる。終了処理は、降温処理と搬出処理とからなる。降温処理は、反応管 203の 温度を所定温度まで降温する処理である。搬出処理は、アモルファス薄膜を形成し た被処理基板をボート 217とともに処理炉 202から搬出する処理である。
[0050] 第 2の工程の「アモルファス TiNCH薄膜を大気に曝して表面を自然酸化させるェ 程」は、この酸化処理を均一に施すための処理である。すなわち、第 2の工程では、 被処理基板は水分濃度が制御された大気雰囲気中におかれ、基板温度を 50°C程 度の一定温度に保って、所定時間の大気酸化処理が施される。図 4に第 2の工程に おける酸化の様子を示す。アモルファス TiNCH薄膜の表面にアモルファス TiNCH O薄膜が形成される。
[0051] 図 4に示されるような状態の薄膜に対して、つづいて第 3の工程を実施する。第 3の 工程は、基板表面のプラズマ処理により、膜中不純物(C、 H)を除去する処理と、ァ モルファス薄膜を緻密化する処理とで構成され、両者は以下に示すプラズマ処理に より同時に進行させることができる。
[0052] 第 3の工程のプラズマ処理は、図 5にその概略を示すプラズマ処理装置 400を使用 して行う。プラズマ処理装置 400は、互いに対向する平行平板型の電極 403と 404を 備え、電極 404は接地され、電極 403は整合器 402を介して高周波電源 401に接続 されている。基板であるシリコンウェハ 200は電極 404上に載置される。高周波電源 401により電極間 403、 404間に高周波電力を印カロし、電極間 403、 404間にプラズ マ 405を、プラズマ 405がウェハ 200上に接するように発生させる。
[0053] プラズマで励起させる改質ガスは、 Hある 、は、 Hを含む改質ガスが良 、。また、
2 2
Hあるいは、 Hを含む改質ガスに Arなどの不活性ガスを添加するとさらに良く。この
2 2
ような Hプラズマ処理につづいて、 NHプラズマ処理に表面を窒化させても良い。
2 3
[0054] つづいて、最後の工程である第 4の工程の薄膜表面の TiO薄膜を除去する工程を 実施する。この工程は、第 3の工程後に基板表面に形成されたアモルファス TiO膜を 除去する工程である。この処理は、通常の酸系の洗浄処理である。基板温度を一定 に保ちながら、 HF等の水溶液に基板を所定時間さらすことにより、表面のァモルファ ス TiO膜を、容易に除去することができる。図 6に示すように、基板上には、緻密なァ モルファス TiN膜が残る。
[0055] なお、図 7に示すように、第 1の工程におけるステップ B3処理時に改質ガス中に Si 原子を含むガス、例えば SiHを混入させることにより、後処理の第 2〜第 4の工程に
4
おいて、 Siを微量含む結晶化しにくいアモルファス TiN膜が得られやすくなる。この 場合は、ステップ B3処理中にプラズマを使用することはできないが、 TiN膜を結晶化 させな 、と言う意味では有効となる。
[0056] なお、本実施例における第 1の工程におけるアモルファス TiNCH薄膜形成時は、 アモルファス TiNCHがボート 217や反応管 203内壁にも形成される力 膜自体が密 度の低いアモルファス薄膜であるため、 NFガスによるセルフクリーニングにより、容
3
易に除去できた。従って、本実施例を利用することにより、成膜装置のクリーニングサ イタルを延長し、メンテナンス性を向上できる。緻密な TiN膜をクリーニングする場合 などの装置自体の耐腐食性向上などの措置 (対策)も不要となり、装置コストを低減し 、経済性を向上させることが可能である。
[0057] 以上説明したように、本発明の好ましい実施例によれば、カバレッジに優れ、剥離し にくぐノリア性の高いアモルファス TiN膜を形成することができ、また、大気中酸ィ匕 による経時変化量が極めて少ない緻密なアモルファス TiN膜を形成できる。
[0058] 以下本発明の好ま 、態様を付記する。
[0059] 第 1の態様は、まず、 Ti、 N、 C、 Hを主成分として構成されるアモルファス薄膜を形 成する工程と、該薄膜の表面を酸ィ匕する工程とを実施する薄膜形成方法を含んで ヽ る。 Ti、 N、 C、 Hを主成分として構成されるアモルファス薄膜を形成するので、ァモル ファス薄膜の酸ィ匕が容易になる。
つぎに、プラズマ処理により前記薄膜中の不純物である Cおよび Hを除去し、およ び前記薄膜を緻密化する工程と、前記薄膜表面の TiO薄膜を除去する工程とを実 施する薄膜形成方法を含んで 、る。アモルファス薄膜の表面が酸化されて TiO系の 酸ィ匕膜で保護されているので、プラズマ処理による緻密化の際、アモルファス薄膜の 多結晶化を抑えることができる。また、プラズマ処理により不純物である Cおよび Hが 除去される。また、不要な TiO薄膜が除去されるので、緻密化された TiN薄膜が得ら れる。
そして、上記薄膜形成工程、酸化工程、不純物除去.緻密化工程、および TiO薄 膜除去工程を連続して実施することにより被処理基板上に TiN膜を堆積する薄膜形 成方法を含んでいる。上記工程を連続して実施するので、薄膜を低温形成すること で剥離しにくくカバレッジに優れ、またアモルファス薄膜の酸ィ匕で結晶粒界がなくある いは結晶粒界が少なぐさらに薄膜の緻密化で経時変化の少ない TiN膜を形成する ことができる。
[0060] 第 2の態様は、第 1の態様において、前記アモルファス薄膜を形成する工程では、
Tiを含む第 1のガスと改質ガスを含む第 2のガスとが被処理基板に対し交互に所定 回数繰り返して供給される薄膜形成方法である。
第 1のガスと第 2のガスとが被処理基板に交互に繰り返して供給されることにより、ァ モルファス薄膜をより低温で形成することができるので、より剥離しにくくカバレッジに 優れる TiN膜を形成することができる。
[0061] 第 3の態様は、第 2の態様にぉ 、て、前記第 2のガスは Siを含むガスである薄膜形 成方法である。
Siを含む結晶化しにく 、アモルファス薄膜が得られやすくなるので、より結晶粒界 がなくあるいは結晶粒界が少な 、TiN膜を形成することができる。
[0062] 第 4の態様は、第 3の態様にぉ 、て、前記 Siを含むガスは SiHである薄膜形成方
4
法である。
SiHを含む結晶化しにくいアモルファス薄膜が得られやすくなるので、より結晶粒
4
界がなくあるいは結晶粒界が少な 、TiN膜を形成することができる。
[0063] 第 5の態様は、第 1の態様において、前記アモルファス薄膜を形成する工程で形成 された薄膜の平均電気抵抗率は 0. 01〜: L000 Ω cmである薄膜形成方法である。 薄膜の平均電気抵抗率は 0. 01〜: LOOO Q cmであると、結晶化しにくいァモルファ ス薄膜が得られやすくなるので、より結晶粒界がなくあるいは結晶粒界が少な 、TiN 膜を形成することができる。
[0064] 第 6の態様は、第 1の態様において、前記被処理基板上に堆積される TiN膜はァ モルファス TiN膜である薄膜形成方法である。
被処理基板上に堆積される TiN膜はアモルファス TiN膜であると、より結晶粒界が なくある 、は結晶粒界が少な 、TiN膜を形成することができる。
[0065] 第 7の態様は、第 1の態様において、前記酸化工程では、前記薄膜の表面を大気 雰囲気下にお!/ヽて自然酸化する薄膜形成方法である。
アモルファス薄膜は Ti、 N、 C、 Hを主成分として構成されているので、大気雰囲気 下にお!/、て薄膜の表面を容易に自然酸化できるので、より結晶粒界がなくあるいは 結晶粒界が少ない TiN膜を形成することができる。
[0066] 第 8の態様は、第 1の態様において、前記薄膜中の不純物である Cおよび Hを除去 し、および前記薄膜を緻密化する工程では、前記プラズマにて励起された Hを含む ガスが前記酸化された表面に供給される薄膜形成方法である。
プラズマにて励起された Hを含むガスが酸ィ匕された表面に供給されるので、より経 時変化の少な 、TiN膜を形成することができる。
[0067] 第 9の態様は、第 8の態様において、前記緻密化する工程の後に、前記薄膜の表 面を窒化させる工程を更に設けた薄膜形成方法である。
薄膜の表面を窒化させる工程を更に設けたので、より経時変化の少ない TiN膜を 形成することができる。
[0068] 第 10の態様は、第 1の態様において、前記 TiO薄膜を除去する工程では、前記 Ti O薄膜が酸系の水溶液により除去される薄膜形成方法である。
TiO薄膜がアモルファス TiO薄膜であると、 TiO薄膜を酸系の水溶液により容易に 除去できる。
[0069] 第 11の態様は、 Ti、 N、 C、 Hを主成分として構成されるアモルファス薄膜を形成す る工程と、該薄膜の表面を酸化する工程と、プラズマ処理により前記薄膜中の不純 物である Cおよび Hを除去し、および前記薄膜を緻密化する工程と、前記薄膜表面 の TiO薄膜を除去する工程と、を連続して実施することにより被処理基坂上に TiN膜 を堆積する工程を備える半導体デバイスの製造方法である。
ο
薄膜を低温形成することで剥離しにくくカバレッジに優れ、またアモルファス薄膜の 酸ィ匕で結晶粒界がなくあるいは結晶粒界が少なぐさらに薄膜の緻密化で経時変化 ό
の少ない TiN膜を形成することができ、したがってバリア性を向上できる。
図面の簡単な説明
070] [図 1]本発明の好ましい実施例に係る基板処理装置の縦型の基板処理炉を説明する ための概略縦断面図である。
[図 2]本発明の好ましい実施例に係る基板処理装置の縦型の基板処理炉を説明する ための概略横断面図である。
[図 3]本発明の好ましい実施例の第 1の工程であるアモルファス TiNCH薄膜の形成 工程を説明するためのフローチャートである。
[図 4]本発明の好ましい実施例の第 2の工程によるアモルファス TiNCH薄膜の酸ィ匕 の様子を説明するための概略縦断面図である。
[図 5]本発明の好ましい実施例の第 3の工程で使用されるプラズマ処理装置を説明 するための概略縦断面図である。
[図 6]本発明の好ましい実施例の第 4の工程によって TiO膜が除去される様子を説明 するための概略縦断面図である。
[図 7]本発明の好ましい実施例の第 1の工程であるアモルファス TiNCH薄膜の形成 工程の他の例を説明するためのフローチャートである。
符号の説明
' .ウエノヽ
201· ··処理室
202· ··処理炉
203· ··反応管
207· '七ータ
217· "ボート
218· ··石英キャップ
219· '·シーノレキャップ
220· '•Oリング 224· ··プラズマ生成領域
231· '·ガス排気管
237· '·ノ ッファ室
246· '·真空ポンプ
267· ··ボート回転機構
269· ··棒状電極
270· ··棒状電極
272· '.整' o¾5
273· ··高周波電源
275· '·電極保護管
321· "コントローラ
331- 337···ガス供給管
361、 362· "ノズル
341- 346···マスフローコ
351- 356···ノ レブ
371- 373…ガス供給孔
380· "アース
400· ··プラズマ処理装置
401· ··高周波電源
402· '.整' o¾5
403· '.電極
404· '.電極
405· "プラズマ

Claims

請求の範囲
[I] Ti、 N、 C、 Hを主成分として構成されるアモルファス薄膜を形成する工程と、
該薄膜の表面を酸化する工程と、
プラズマ処理により前記薄膜中の不純物である Cおよび Hを除去し、および前記薄 膜を緻密化する工程と、
前記薄膜表面の TiO薄膜を除去する工程と、
を連続して実施することにより被処理基板上に TiN膜を堆積する薄膜形成方法。
[2] 前記アモルファス薄膜を形成する工程では、 Tiを含む第 1のガスと改質ガスを含む 第 2のガスとが被処理基板に対し交互に所定回数繰り返して供給される請求項 1〖こ 記載の薄膜形成方法。
[3] 前記第 2のガスは Siを含むガスである請求項 2に記載の薄膜形成方法。
[4] 前記 Siを含むガスは SiHである請求項 3に記載の薄膜形成方法。
4
[5] 前記アモルファス薄膜を形成する工程で形成された薄膜の平均電気抵抗率は 0. 0
1〜: L000 Ω cmである請求項 1に記載の薄膜形成方法。
[6] 前記被処理基板上に堆積される TiN膜はアモルファス TiN膜である請求項 1に記 載の薄膜形成方法。
[7] 前記酸化工程では、前記薄膜の表面を大気雰囲気下において自然酸化する請求 項 1に記載の薄膜形成方法。
[8] 前記薄膜中の不純物である Cおよび Hを除去し、および前記薄膜を緻密化するェ 程では、前記プラズマにて励起された Hを含むガスが前記酸ィ匕された表面に供給さ れる請求項 1に記載の薄膜形成方法。
[9] 前記緻密化する工程の後に、前記薄膜の表面を窒化させる工程を更に設けた請 求項 8に記載の薄膜形成方法。
[10] 前記 TiO薄膜を除去する工程では、前記 TiO薄膜が酸系の水溶液により除去され る請求項 1に記載の薄膜形成方法。
[II] Ti、 N、 C、 Hを主成分として構成されるアモルファス薄膜を形成する工程と、
該薄膜の表面を酸化する工程と、
プラズマ処理により前記薄膜中の不純物である Cおよび Hを除去し、および前記薄 膜を緻密化する工程と、
前記薄膜表面の TiO薄膜を除去する工程と、
を連続して実施することにより被処理基坂上に TiN膜を堆積する工程を備える半導 体デバイスの製造方法。
PCT/JP2006/315846 2005-08-16 2006-08-10 薄膜形成方法および半導体デバイスの製造方法 WO2007020874A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007530971A JP4727667B2 (ja) 2005-08-16 2006-08-10 薄膜形成方法および半導体デバイスの製造方法
US11/920,720 US20090130331A1 (en) 2005-08-16 2006-08-10 Method of Forming Thin Film and Method of Manufacturing Semiconductor Device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005236002 2005-08-16
JP2005-236002 2005-08-16

Publications (1)

Publication Number Publication Date
WO2007020874A1 true WO2007020874A1 (ja) 2007-02-22

Family

ID=37757536

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/315846 WO2007020874A1 (ja) 2005-08-16 2006-08-10 薄膜形成方法および半導体デバイスの製造方法

Country Status (3)

Country Link
US (1) US20090130331A1 (ja)
JP (1) JP4727667B2 (ja)
WO (1) WO2007020874A1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8178445B2 (en) 2009-06-10 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device using plasma generation
US8614147B2 (en) 2009-05-28 2013-12-24 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device
US8691708B2 (en) 2010-01-25 2014-04-08 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US8808455B2 (en) 2010-01-29 2014-08-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
JP2015074831A (ja) * 2013-10-09 2015-04-20 エーエスエム アイピー ホールディング ビー.ブイ. TDMAT又はTDEATを用いてPEALDによりTi含有膜を形成する方法
JP5704766B2 (ja) * 2010-12-22 2015-04-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9045825B2 (en) 2011-02-18 2015-06-02 Hitachi Kokusai Electric Inc. Method of forming metal-containing film
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US9340873B2 (en) 2010-06-04 2016-05-17 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
US9466477B2 (en) 2009-08-04 2016-10-11 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
US10147782B2 (en) 2016-07-18 2018-12-04 International Business Machines Corporation Tapered metal nitride structure
US10355098B2 (en) 2015-01-06 2019-07-16 Kokusai Electric Corporation Method of manufacturing semiconductor device

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109656A (ja) * 1990-06-29 1993-04-30 Samsung Electron Co Ltd 非晶質窒化チタン膜を用いた金属配線形成方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641558A (en) * 1992-05-27 1997-06-24 Asahi Glass Company Ltd. Window glass for an automobile
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109656A (ja) * 1990-06-29 1993-04-30 Samsung Electron Co Ltd 非晶質窒化チタン膜を用いた金属配線形成方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8614147B2 (en) 2009-05-28 2013-12-24 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device
US8178445B2 (en) 2009-06-10 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device using plasma generation
US9466477B2 (en) 2009-08-04 2016-10-11 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
US8691708B2 (en) 2010-01-25 2014-04-08 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US8808455B2 (en) 2010-01-29 2014-08-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US9340873B2 (en) 2010-06-04 2016-05-17 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
US9416446B2 (en) 2010-06-04 2016-08-16 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
JP5704766B2 (ja) * 2010-12-22 2015-04-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9650715B2 (en) 2011-02-18 2017-05-16 Hitachi Kokusai Electric Inc. Method of forming metal-containing film
US9045825B2 (en) 2011-02-18 2015-06-02 Hitachi Kokusai Electric Inc. Method of forming metal-containing film
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
JP2016528734A (ja) * 2013-08-09 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
US10428441B2 (en) 2013-08-09 2019-10-01 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US10837122B2 (en) 2013-08-09 2020-11-17 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
KR102245729B1 (ko) 2013-08-09 2021-04-28 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
JP2015074831A (ja) * 2013-10-09 2015-04-20 エーエスエム アイピー ホールディング ビー.ブイ. TDMAT又はTDEATを用いてPEALDによりTi含有膜を形成する方法
US10355098B2 (en) 2015-01-06 2019-07-16 Kokusai Electric Corporation Method of manufacturing semiconductor device
US10147782B2 (en) 2016-07-18 2018-12-04 International Business Machines Corporation Tapered metal nitride structure
US10615250B2 (en) 2016-07-18 2020-04-07 International Business Machines Corporation Tapered metal nitride structure

Also Published As

Publication number Publication date
JPWO2007020874A1 (ja) 2009-02-26
JP4727667B2 (ja) 2011-07-20
US20090130331A1 (en) 2009-05-21

Similar Documents

Publication Publication Date Title
JP4727667B2 (ja) 薄膜形成方法および半導体デバイスの製造方法
JP5008957B2 (ja) シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP5097554B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP4245012B2 (ja) 処理装置及びこのクリーニング方法
US20070292974A1 (en) Substrate Processing Method and Substrate Processing Apparatus
KR20190119158A (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
JP4916257B2 (ja) 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP2006054432A (ja) 成膜方法、成膜装置及び記憶媒体
JP2022066224A (ja) プラズマ生成装置、基板処理装置、反応管、プラズマ生成方法、半導体装置の製造方法およびプログラム
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
JPWO2016046909A1 (ja) 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
JP7024087B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
JP2010183069A (ja) 半導体装置の製造方法及び基板処理装置
JP2011068984A (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
TW200822188A (en) Film formation apparatus and method for using the same
US9502233B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing device and recording medium
JP2012033874A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP5421736B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
KR20180111598A (ko) 상호접속부를 위한 wcn 배리어/접착층의 선택적인 증착
JP2009076586A (ja) 基板処理装置及びそのコーティング方法
JP2004006699A (ja) 半導体装置の製造方法及び基板処理装置
JP2007035740A (ja) 成膜方法、成膜装置及び記憶媒体
JP2010280945A (ja) 半導体装置の製造方法
JP2009263764A (ja) 半導体製造装置及び半導体装置の製造方法
JP2010087361A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007530971

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 11920720

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06796337

Country of ref document: EP

Kind code of ref document: A1