KR20160042010A - 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치 - Google Patents

에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20160042010A
KR20160042010A KR1020167005985A KR20167005985A KR20160042010A KR 20160042010 A KR20160042010 A KR 20160042010A KR 1020167005985 A KR1020167005985 A KR 1020167005985A KR 20167005985 A KR20167005985 A KR 20167005985A KR 20160042010 A KR20160042010 A KR 20160042010A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
plasma
contaminants
gas
Prior art date
Application number
KR1020167005985A
Other languages
English (en)
Other versions
KR102245729B1 (ko
Inventor
크리스토퍼 에스. 올센
테레사 크래머 구아리니
제프리 토빈
라라 호릴차크
피터 스톤
치 웨이 로
사우라브흐 초프라
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160042010A publication Critical patent/KR20160042010A/ko
Application granted granted Critical
Publication of KR102245729B1 publication Critical patent/KR102245729B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Abstract

본 발명의 실시예들은 일반적으로 기판 표면들로부터 오염물들 및 자연 산화물들을 제거하기 위한 방법들에 관한 것이다. 이러한 방법들은, 플라즈마 프로세스를 이용하여 기판 표면 상에 배치된 오염물들을 제거하는 단계; 및 다음에 원격 플라즈마 보조 건식 에칭 프로세스의 이용에 의해 기판 표면을 세정하는 단계를 일반적으로 포함한다.

Description

에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치{METHOD AND APPARATUS FOR PRECLEANING A SUBSTRATE SURFACE PRIOR TO EPITAXIAL GROWTH}
본 발명의 실시예들은 일반적으로 기판 표면으로부터 오염물들 및 산화물들을 제거하기 위한 방법 및 장치에 관한 것이다.
집적 회로들은 실리콘 및 다른 반도체 기판 내에 또는 그 위에 형성된다. 단결정 실리콘의 경우, 기판들은 용융 실리콘의 배쓰로부터 잉곳을 성장시킨 다음, 고체화된 잉곳을 복수의 웨이퍼로 소잉(sawing)하는 것에 의해 만들어진다. 다음으로, 에피택셜 실리콘 층이 단결정질 실리콘 웨이퍼 상에 형성되어, 도핑되거나 도핑되지 않을 수 있는 무결함 실리콘 층을 형성할 수 있다. 트랜지스터와 같은 반도체 디바이스들은 에피택셜 실리콘 층으로부터 제조된다. 형성된 에피택셜 실리콘 층의 전기적 속성들은 일반적으로 단결정질 실리콘 기판의 속성들보다 양호할 것이다.
단결정질 실리콘 및 에피택셜 실리콘 층의 표면들은 전형적인 웨이퍼 제조 설비 주변 상태들에 노출될 때 오염되기 쉽다. 예를 들어, 에피택셜 층의 퇴적 이전에, 자연 산화물 층(native oxide layer)이 단결정질 실리콘 표면 상에 형성될 수 있다. 추가로, 주변 환경에 존재하는 오염물들이 단결정질 표면 상에 퇴적될 수 있다. 단결정질 실리콘 표면 상의 자연 산화물 층 또는 오염물들의 존재는 단결정질 표면 상에 후속하여 형성되는 에피택셜 층의 품질에 부정적인 영향을 준다. 현재의 세정 방법들은 단결정질 실리콘 표면으로부터 자연 산화물들 및 오염물들 중 일부를 제거하긴 하지만, 일부 오염물들은 여전히 남아있다.
따라서, 기판 표면을 세정하기 위한, 특히 에피택셜 퇴적 프로세스를 수행하기 전에 기판 표면을 세정하기 위한 방법 및 장치가 필요하다.
본 발명의 실시예들은 일반적으로 기판 표면들로부터 오염물들 및 자연 산화물들을 제거하기 위한 방법들에 관한 것이다. 이러한 방법들은, 플라즈마 프로세스를 이용하여 기판 표면 상에 배치된 오염물들을 제거하는 단계; 및 다음에 원격 플라즈마 보조 건식 에칭 프로세스(remote plasma assisted dry etch process)의 이용에 의해 기판 표면을 세정하는 단계를 일반적으로 포함한다.
일 실시예에서, 기판의 표면을 세정하기 위한 방법이 개시된다. 이 방법은 기판의 표면으로부터 오염물들을 제거하는 단계 - 오염물들은 환원 프로세스에 의해 제거됨 -; 다음으로, 플라즈마 에칭 프로세스의 이용에 의해 기판의 표면을 세정하는 단계 - 플라즈마 에칭 프로세스 동안 적어도 하나의 프로세스 가스가 이용됨 -; 및 기판의 표면 상에 에피택셜 층을 형성하는 단계를 포함한다.
다른 실시예에서, 기판의 표면 상에 에피택셜 층을 형성하기 위한 방법이 개시된다. 이 방법은 기판의 표면으로부터 오염물들을 제거하는 단계 - 오염물들은 환원 프로세스에 의해 제거됨 -; 다음으로, 플라즈마 에칭 프로세스의 이용에 의해 기판의 표면을 세정하는 단계; 및 다음으로, 기판의 표면 상에 에피택셜 층을 형성하는 단계를 포함한다.
다른 실시예에서, 기판의 표면을 세정하기 위한 방법이 개시된다. 이 방법은 기판의 표면으로부터 오염물들을 제거하는 단계 - 오염물들은 환원 프로세스에 의해 제거됨 -; 플라즈마 에칭 프로세스의 이용에 의해 기판의 표면을 세정하는 단계 - 플라즈마 에칭 프로세스 동안 이용되는 프로세스 가스들 중 적어도 하나는 불소를 포함함 -; 및 기판의 표면 상에 에피택셜 층을 형성하는 단계를 포함한다.
다른 실시예에서, 기판의 표면 상에 에피택셜 층을 형성하기 위한 장치가 개시된다. 이 장치는 제1 이송 챔버에 연결된 제1 처리 챔버 - 제1 처리 챔버는 기판의 표면으로부터 오염물들을 제거하기 위해 환원 프로세스를 수행하도록 구성됨 -; 제1 이송 챔버에 연결된 세정 챔버 - 세정 챔버는 산화물 층을 제거하기 위해 플라즈마 에칭 프로세스를 수행하도록 구성됨 -; 제2 처리 챔버에 의해 제1 이송 챔버에 연결되는 제2 이송 챔버; 및 제2 이송 챔버에 연결된 복수의 제3 처리 챔버 - 복수의 제3 처리 챔버는 기판의 표면 상에 에피택셜 층을 퇴적하도록 구성됨 - 를 포함한다.
위에서 언급된 본 발명의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 발명의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 유의해야 한다.
도 1은 본 발명의 일 실시예에 따른 처리 시퀀스를 도시한다.
도 2는 본 발명의 일 실시예에 따른 처리 챔버의 단면도이다.
도 3은 본 발명의 일 실시예에 따른 다른 처리 챔버의 단면도이다.
도 4는 본 발명의 일 실시예에 따른 다른 처리 챔버의 단면도이다.
도 5는 본 발명의 일 실시예에 따른 세정 챔버의 단면도이다.
도 6은 본 발명의 실시예들에 따라 도 1에 도시된 처리 시퀀스를 완료하기 위해 이용될 수 있는 처리 시스템을 도시한다.
도 7은 본 발명의 실시예들에 따라 도 1에 도시된 처리 시퀀스를 완료하기 위해 이용될 수 있는 다른 처리 시스템을 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 요소들은 구체적인 언급 없이도 다른 실시예들에서 유익하게 이용될 수 있다고 고려된다.
본 발명의 실시예들은 일반적으로 기판 표면들로부터 오염물들 및 자연 산화물들을 제거하기 위한 방법들에 관한 것이다. 이러한 방법들은, 플라즈마 프로세스를 이용하여 기판 표면 상에 배치된 오염물들을 제거하는 단계; 및 다음에 원격 플라즈마 보조 건식 에칭 프로세스의 이용에 의해 기판 표면을 세정하는 단계를 일반적으로 포함한다.
도 1은 본 발명의 일 실시예에 따른 처리 시퀀스(100)를 도시한다. 프로세스 시퀀스(100)는 단계(102)에서 시작한다. 단계(102)에서, 기판의 표면 상의 오염물들이 제거된다. 기판은 실리콘 함유 재료를 포함할 수 있고, 표면은 실리콘(Si), 게르마늄(Ge), 또는 실리콘 게르마늄 합금(SiGe)과 같은 재료를 포함할 수 있다. 일부 실시예들에서, Si, Ge, 또는 SiGe 표면은 그 위에 배치된 오염물들 및 산화물 층, 예컨대 자연 산화물 층을 가질 수 있다. 에피택셜 퇴적 프로세스들은 탄소 함유 오염물들과 같은 오염물들에 대해 민감하기 때문에, 대부분의 전형적인 세정실(cleanroom) 환경에 몇 시간 동안 노출되면, 상당량의 오염물이 기판의 표면 상에 재축적되는 것이 허용될 것이고, 그로 인해 축적된 오염물들은 후속하여 형성되는 에피택셜 층의 품질에 영향을 줄 것이다.
단계(102)의 일부 실시예들에서, 오염물들은 환원 프로세스(102A) 및/또는 산화 프로세스(102B)를 이용하여 기판의 표면으로부터 제거될 수 있다. 오염물 제거에 적합할 수 있는 수 개의 환원 프로세스가 존재하는데, 이들은 본 명세서에서 설명된다. 일 실시예에서, 오염물들은 수소 함유 플라즈마를 이용하여 제거된다. 플라즈마는 수소 가스(H2) 및/또는 아르곤(Ar) 및 암모니아(NH3) 가스들을 함유할 수 있다. 플라즈마는 유도 결합되거나 용량 결합될 수 있고, 또는 플라즈마는 마이크로파 소스에 의해 활성화될(energized) 수 있다. 일 실시예에서, 플라즈마는 유도 결합되고, 처리 온도는 약 섭씨 400도(℃)일 수 있고, 처리 압력은 약 20 밀리토르(mTorr)일 수 있다. 유도 결합 플라즈마를 이용하여 환원 프로세스를 수행하도록 되어 있을 수 있는 처리 챔버가 도 2에 도시되어 있다. 도 3은 용량 결합 플라즈마를 이용하여 환원 프로세스를 수행하도록 되어 있을 수 있는 처리 챔버를 도시한다. 도 4는 유도 결합 플라즈마를 이용하여 상이한 환원 프로세스를 수행하도록 되어 있을 수 있는 처리 챔버를 도시한다.
단계(104)에 도시된 바와 같이, 오염물들을 제거한 후, 기판의 표면은 세정 프로세스를 이용하여 세정된다. 세정 프로세스는 이하에 더 논의되는 플라즈마 에칭 프로세스를 포함할 수 있다. 일부 실시예들에서, 플라즈마 에칭 프로세스는 불소 함유 플라즈마를 이용할 수 있다. 플라즈마 에칭 프로세스를 수행하도록 되어 있을 수 있는 처리 챔버가 도 5에 도시되어 있다.
다음으로, 단계(106)에서, 에피택셜 층이 기판의 표면 상에 퇴적된다. 단계들(102, 104 및 106)은 도 6에 도시된 클러스터 툴과 같은 하나의 처리 시스템 내에서 수행될 수 있다. 대안적으로, 단계(102)는, 도 7에 도시된 바와 같이, 단계들(104 및 106)이 수행되는 처리 챔버들을 포함하는 처리 시스템 내에 있지 않은 처리 챔버 내에서 수행될 수 있다.
도 2는 일 실시예에 따른 처리 챔버(200)의 단면도이다. 처리 챔버(200)는 단계(102A)에서 확인되는 프로세스들 중 적어도 일부를 수행하고, 그에 의해 기판(202)의 표면(201) 상에 축적되는 탄소 또는 탄화수소와 같은 오염물들을 제거하도록 되어 있는 유도 결합 플라즈마 처리 챔버이다. 일 실시예에서, 처리 챔버(200)는 캘리포니아주 산타 클라라에 있는 Applied Materials Inc.로부터 입수가능한 수정된 DPN(Decoupled Plasma Nitridation) 챔버이다.
처리 챔버(200)는 일반적으로 무선 주파수(RF) 소스 어셈블리(291), 프로세스 챔버 어셈블리(293) 및 기판 지지 어셈블리(294)를 포함한다. 프로세스 챔버 어셈블리(293)는 플라즈마 프로세스가 내부에서 수행될 수 있도록 처리 영역(222) 내에 진공을 형성하기 위해 이용되는 복수의 컴포넌트를 일반적으로 포함한다. 일반적으로, 프로세스 챔버 어셈블리(293)는, 처리 영역(222)을 밀봉하여 둘러싸는 챔버 베이스(227), 챔버 벽들(228) 및 챔버 리드(229)를 포함한다. 처리 영역(222)은 챔버 베이스(227) 및/또는 챔버 벽들(228)을 통해 처리 영역(222)에 접속되는 진공 펌프(210)를 이용하여 원하는 진공 압력으로 배기될 수 있다. 일반적으로, 챔버 벽들(228) 및 챔버 베이스(227)는 알루미늄과 같은 금속 또는 다른 적합한 재료로부터 형성될 수 있다.
일 실시예에서, 챔버 벽들(228) 및 챔버 리드(229)는 온도 제어될 수 있다. 다양한 챔버 컴포넌트들을 가열 및 냉각하기 위해, 통상의 방법들 및/또는 열 교환 디바이스들이 이용될 수 있다. 예를 들어, 챔버 벽들(228) 및 챔버 리드(229)는 프로세스 챔버 어셈블리(293) 외부에 위치된 램프 어레이들과 같은 가열기들(도시되지 않음)에 의해 가열될 수 있다. 다른 예에서, 챔버 벽들(228) 및 챔버 리드(229)를 냉각하기 위해 냉각 가스들이 프로세스 챔버 어셈블리(293) 외부로 순환될 수 있다. 다른 예에서, 챔버 벽들(228) 및 챔버 리드(229)에 임베딩될 수 있는 가열 및/또는 냉각 도관들이 온도를 제어하기 위해 유체 가열기/냉각기 디바이스에 접속될 수 있다.
일 실시예에서, RF 소스 어셈블리(291)는 코일(209)에 접속되는 RF 발생기(208) 및 RF 정합 회로(208A)를 일반적으로 포함하는 유도형 RF 소스이다. 코일(209)은 챔버 리드(229)에 인접하여 위치된다. 일 실시예에서, RF 발생기(208)는 약 400kHz 내지 약 60MHz의 주파수에서 약 0 내지 약 3000W에서 동작할 수 있다. 일례에서, RF 발생기(208)는 13.56MHz의 주파수에서 동작한다. 일 실시예에서, RF 발생기(208)는 감소된 에너지 레벨 및/또는 플라즈마 밀도를 갖는 플라즈마를 발생시키기 위해 코일(209)에 RF 에너지의 펄스들을 제공할 수 있다. 감소된 에너지의 수소 함유 플라즈마를 이용하는 것은, 이러한 처리 단계 동안 기판(202)의 표면(201)의 조면화를 방지하는 데에 도움을 줄 수 있다. 표면(201)의 조면화는 디바이스 속성들에 부정적인 영향을 줄 수 있고, 게이트 누설 또는 불량한 임계미만 전압(poor subthreshold voltage)을 야기할 수 있다. 자연 산화물 층과 같은 산화물 층이 기판(202)의 표면(201) 상에 형성되는 일부 경우들에서, 형성된 산화물 층은 단계(102A) 동안 표면의 조면화를 방지하는 데에 도움을 주도록 유리하게 이용될 수 있다. 낮은 에너지 레벨의 수소 함유 플라즈마는 약 400kHz 내지 약 60MHz의 주파수, 예컨대 약 13.56MHz의 주파수에서 낮은 RF 전력, 예컨대 10W 내지 500W로 발생될 수 있다. 소스 RF 전력들은 연속파 모드(continuous wave mode)로 항상 온되어 동작될 수 있거나, 펄스화 모드로 동작될 수 있는데, 여기에서 소스 전력은 100Hz 내지 100kHz의 주파수에서 온 및 오프된다.
일반적으로, 챔버 리드(229)는, 유도성 RF 소스 어셈블리(291)로부터 전달되는 RF 에너지가 처리 영역(222) 내에 플라즈마를 형성하는 것을 허용하도록 되어 있는 유전체 컴포넌트(예를 들어, 석영, 세라믹 재료(예를 들어, 알루미나))이다. 플라즈마는 처리 영역(222) 외부에 형성된 다음, 처리 영역(222) 내로 도입될 수 있다. 전형적으로, 원격 플라즈마에 노출되는 처리 가스들은 동일한 RF 전력 레벨에서 인-시튜 발생된 플라즈마에 노출되는 처리 가스들에 비해 감소된 에너지 레벨을 갖는다. 그러므로, 일부 구성들에서, 원격 플라즈마 소스에 의해 발생되는 플라즈마는 기판(202)의 표면(201)의 조면화를 방지하는데 이용될 수 있다.
일 실시예에서, 프로세스 챔버 어셈블리(293)는 하나 이상의 프로세스 가스를 처리 영역(222) 내로 전달하도록 되어 있는 가스 전달 시스템(250)을 또한 포함한다. 일 실시예에서, 처리 영역(222)은, 챔버 내에서 수행되는 준비 프로세스들 및 발생된 플라즈마로부터 챔버 벽들(228) 및/또는 챔버 리드(229)를 보호하도록 의도되는 하나 이상의 쉴드(230)로 둘러싸인다. 일 실시예에서, 가스 전달 시스템은, 몇 가지 예를 들자면, 수소 함유 가스(예를 들어, H2 또는 NH3), 및/또는 불소 가스(F2), 삼불화질소(NF3) 또는 무수 HF와 같은 불소 함유 가스와 같은 반응 가스를 전달하도록 되어 있다. 일 실시예에서, 가스 전달 시스템(250)은 아르곤(Ar), 헬륨(He), 크립톤(Kr) 및/또는 질소(N2)와 같은 불활성 가스를 전달하도록 되어 있다. 일 실시예에서, 가스 전달 시스템(250)은 반응성 가스 및 불활성 가스를 전달하도록 되어 있다. 처리 영역(222) 내의 압력은 가스 전달 시스템(250)에 의해 전달되는 가스의 유량, 및 진공 펌프(210)의 펌핑 속도를 조절함으로써 제어될 수 있다. 진공 펌프(210)의 펌핑 속도를 조절하기 위해 스로틀 밸브(211)가 이용될 수 있다. 처리 압력은 약 1 mTorr 내지 약 500 mTorr, 예컨대 약 20 mTorr의 압력일 수 있다.
기판 지지 어셈블리(294)는 기판 지지 부재(262A)를 포함하는 기판 지지체(262)를 일반적으로 포함한다. 기판 지지 부재(262A)는 처리 동안 기판을 능동적으로 유지하기 위해 이용될 수 있는 통상의 정전 척일 수 있거나, 또는 단순한 기판 지지체를 포함할 수 있다. 일반적으로, 온도 제어기(261)는, 통상의 열 교환기(도시되지 않음)에 연결된 유체 냉각 채널들 또는 임베딩된 저항성 가열 요소들과 같은 열 교환 디바이스 및 온도 제어기(261)를 이용하여 기판 지지 부재(262A)를 원하는 온도로 가열 및/또는 냉각하도록 되어 있다. 일 실시예에서, 온도 제어기(261)는 기판 지지 부재(262A) 상에 위치된 기판(202)을 약 20℃ 내지 약 800℃, 예컨대 약 400℃의 온도로 가열하고 동작시키도록 되어 있다. 기판(202)은 처리 동안 바이어스되지 않는데, 왜냐하면 바이어스는 표면(201)이 조면화되게 할 수 있기 때문이다.
RF 발생기(208)로부터 처리 영역(222)으로의 RF 에너지의 전달은 처리 영역(222) 내의 가스 원자들이 이온화되게 한다. 기판(202)이 동작 동안 처리 영역(222) 내에서 발생되거나 처리 영역에 분배되는 플라즈마에 노출될 때, 플라즈마 내에서 발생되는 라디컬들 및/또는 이온들은 기판(202)의 표면(201) 상에 배치된 오염물과 상호작용하여, 그것이 기판의 표면으로부터 탈착(desorb)되거나 물리적으로 제거되게 할 것이다. 일부 구성들에서, 플라즈마는, 기판(202)의 표면(201)에 충돌하는 플라즈마 내의 이온화된 원자들에 의해 전달되는 에너지로 인해, 오염물들이 표면으로부터 탈착되게 할 수 있거나 오염물들을 없앨 수 있다. 위에서 언급된 바와 같이, 일부 실시예들에서, 처리 동안 표면(201)을 조면화할 가능성을 감소시키기 위해, 플라즈마 발생된 종들(plasma generated species)이 갖는 에너지의 양을 최소화하는 것이 바람직하다. 일부 실시예들에서, 가스 라디컬 대 활성 이온화 종(energetic ionized species)의 백분율을 더 크게 형성하는 것이 바람직하다.
단계(102A)에서 수행되는 프로세스의 일례에서, 기판(202)이 약 15 내지 약 500℃의 온도로 유지되고, 처리 영역(222)의 처리 압력이 20 mTorr의 압력으로 유지되는 동안, 13.56MHz의 RF 주파수에서 10W 내지 500W의 RF 전력으로 수소 함유 플라즈마가 발생될 수 있다. 본 예에서, 처리 동안 불활성 가스 내의 수소(H2) 가스의 농도는 2% 내지 100%일 수 있다.
단계(102A)의 일부 실시예들에서, 환원 프로세스는 기판의 표면으로부터 오염물들을 제거하는데 이용되는 용량 결합 플라즈마를 이용하여 적어도 부분적으로 수행된다. 도 3은 본 발명의 다른 실시예에 따른 처리 챔버(300)의 측단면도를 개략적으로 도시한다. 처리 챔버(300)는 용량 결합 플라즈마 발생 챔버이다. 처리 챔버(300)는, 프로세스 챔버 어셈블리(396)에 밀봉 연결되며 프로세스 영역(333)을 정의하는 챔버 리드 어셈블리(330)를 포함한다. 처리 영역(333)은 챔버 베이스(327) 및/또는 챔버 벽들(328)을 통해 처리 영역(333)에 접속되는 진공 펌프(310)를 이용하여 원하는 진공 압력으로 배기될 수 있다. 진공 펌프(210)의 펌핑 속도를 조절하기 위해 스로틀 밸브(311)가 이용될 수 있다. 일반적으로, 챔버 벽들(328) 및 챔버 베이스(327)는 알루미늄과 같은 금속 또는 다른 적합한 재료로 형성될 수 있다.
이러한 구성에서, 챔버 리드 어셈블리(330)는 가스 분배 플레이트(샤워 헤드라고도 알려져 있음)(332), 및 가스 분배 플레이트(332)에 실질적으로 평행한 블로커 플레이트(blocker plate)(334)를 갖는 베이스 플레이트(331)를 포함한다. 가스 분배 플레이트(332)는 전기 절연체(335)를 이용하여 챔버 벽들(328)로부터 격리된다. 챔버 리드 어셈블리(330)는 가스 전달 어셈블리(350)에 접속된다. 가스 전달 시스템(350)으로부터의 반응물 및/또는 세정 가스들은 가스 통로(336)를 통해 프로세스 영역(333)에 전달될 수 있다. RF 소스 어셈블리(391)는 플라즈마 발생을 위한 RF 전력을 처리 영역(333)에 제공하기 위해 베이스 플레이트(331)에 연결된다. 용량성 플라즈마 발생을 위한 RF 소스는 일반적으로 무선 주파수(RF) 전력원(308), 예를 들어 13.56MHz RF 발생기, 및 RF 정합 회로(308A)를 포함한다. 처리 동안, 기판 지지 부재(362)는 접지되거나, 전기적으로 부동될 수 있다. 챔버 벽들(328)과 베이스 플레이트(331) 사이의 바이어스 전위가 프로세스 영역(333) 내에 플라즈마를 형성하기 위해 이용될 수 있다. 플라즈마 내의 활성화된 종들은 기판(302)을 처리하기 위해 이용될 수 있다. 다시, 환원 프로세스의 본 실시예에서는, 기판(302)의 표면(301) 상의 오염물들을 제거하기 위해 수소 함유 플라즈마가 이용될 수 있다. 단계(102A)에서 수행되는 프로세스의 일례에서, 기판(302)이 약 15 내지 약 500℃의 온도로 유지되고, 처리 영역(333)의 처리 압력이 500 mTorr의 압력으로 유지되는 동안, 13.56MHz의 RF 주파수에서 10W 내지 500W의 RF 전력으로 수소 함유 플라즈마가 발생될 수 있다. 본 예에서, 처리 동안 불활성 가스 내의 수소(H2) 가스의 농도는 2% 내지 100%일 수 있다.
단계(102A)의 다른 실시예에서, 기판의 표면 상에 배치된 오염물들을 제거하기 위해 유도 결합 플라즈마를 이용하여 환원 프로세스가 수행된다. 일 실시예에서, 유도 결합 플라즈마는 H2, 또는 질소 가스(N2) 및 H2 또는 NH3 가스를 함유하는 가스 혼합물을 함유할 수 있다. 일부 구성들에서, 유도 발생 플라즈마는 원격 발생된다. 일례에서, 단계(102A)에서 수행되는 프로세스들은, 기판이 약 15 내지 약 500℃의 온도로 유지되고, 처리 영역의 처리 압력이 약 700 mTorr의 압력으로 유지되는 동안, 13.56MHz의 RF 주파수에서 10W 내지 500W의 RF 전력을 이용하여 유도 결합 플라즈마를 발생시키는 것을 포함할 수 있다. 본 예에서, 처리 동안 불활성 가스 내의 수소(H2) 가스의 농도는 2% 내지 100%일 수 있다. 이러한 환원 프로세스는 처리 챔버 또는 지지 챔버 내에서 수행될 수 있다. 구성에서, 지지 챔버는 로드 락 챔버, 또는 아래에 논의되는 클러스터 툴의 상이한 영역들 사이의 인터페이스의 역할을 하거나 저장하도록 되어 있는 유사한 챔버이다. 이러한 환원 프로세스를 수행하기 위한 예시적인 로드 락 챔버가 도 4에 도시되어 있다.
도 4는 기판의 표면으로부터 오염물들을 제거하도록 환원 프로세스를 수행하기 위해 이용되는 로드 락 챔버(400)의 일 실시예를 도시한다. 로드 락 챔버(400)는 챔버 바디(402), 제1 기판 홀더(404), 제2 기판 홀더(406), 온도 제어 페디스털(440) 및 가열기 모듈(470)을 일반적으로 포함한다. 챔버 바디(402)는 알루미늄과 같은 재료의 단일체로부터 제조될 수 있다. 챔버 바디(402)는, 챔버 용적(418)을 정의하는 제1 측벽(408), 제2 측벽(410), 최상부(414) 및 최하부(416)를 포함한다. 전형적으로 석영으로 이루어지는 윈도우(450)가 챔버 바디(402)의 최상부(414)에 배치되고, 가열기 모듈(470)에 의해 적어도 부분적으로 커버된다.
로드 락 챔버(400)가 이송 챔버(436)의 환경에 실질적으로 매칭되게 배기될 수 있고, 팩토리 인터페이스(401)의 환경에 실질적으로 매칭되게 배출될(vented) 수 있도록, 챔버 용적(418)의 압력이 제어될 수 있다. 추가로, 챔버 용적(418)의 압력은 아래에 더 설명되는 것과 같이 오염물 제거 프로세스를 수행하는 것을 용이하게 하는 미리 결정된 범위 내에서 제어될 수 있다. 챔버 바디(402)는 하나 이상의 배출 통로(430) 및 펌프 통로(432)를 포함한다. 배출 통로(430) 및 펌프 통로(432)는 미립자 오염을 최소화하기 위해 배출 및 배기 동안 챔버 용적(418) 내에 층류(laminar flow)를 유도하도록 챔버 바디(402)의 양 단에 위치된다. 일 실시예에서, 2개의 배출 통로(430)는 챔버 바디(402)의 최상부(414)를 통해 배치되는 한편, 펌프 통로(432)는 챔버 바디(402)의 최하부(416)를 통해 배치된다. 전형적으로, 통로들(430, 432)은 챔버 용적(418) 내부로의 그리고 챔버 용적 외부로의 유동을 선택적으로 허용하기 위해 밸브(412)에 연결된다.
배출 통로(430)는 챔버 용적(418) 내에 가스 혼합물을 제공하기 위해 밸브(440)를 통해 가스 소스(452)에 추가로 연결될 수 있다. 일 실시예에서, 배출 통로(430)는 가스 분배 링으로서 구성될 수 있고, 여기에서 가스 혼합물은 유동 균일성을 최적화하기 위해 홀들의 어레이를 통해 벽들(410, 408)의 부근으로부터 분배될 수 있다. 다른 실시예에서, 가스 혼합물은 가열기 모듈(470) 아래에 배치된 가스 분배 플레이트(도시되지 않음)를 통해 로드 락 챔버(400)에 공급될 수 있다. 가스 분배 플레이트는, 예컨대 기판 홀더들(404, 406) 상에 위치된 기판들의 가열을 실질적으로 방해하지 않도록, 가열기 모듈(470)로부터 발생되는 열에 투과성인 재료로 제조될 수 있다. 가스 소스(452)로부터 공급될 수 있는 가스들의 예들은 N2, Ar, H2, 헬륨(He), 산소(O2), 오존(O3), 수증기(H2O) 등을 포함한다.
일 실시예에서, 대안적으로는 기판 표면들로부터 오염물들을 제거하는 데에 도움을 주기 위해 배출 통로(430)에 원격 플라즈마 소스(remote plasma source)(RPS)(448)가 연결될 수 있다. 원격 플라즈마 소스(448)는 가스 소스(452)에 의해 제공되는 가스 혼합물로부터 형성된 플라즈마를 로드 락 챔버(400)에 제공한다. RPS(448)가 존재하는 실시예에서, 발생된 플라즈마를 로드 락 챔버(400)에 전달하는 것을 용이하게 하기 위해 배출 통로(430)의 유출구에 확산기(도시되지 않음)가 배치될 수 있다.
기판(424)이 로드 락 챔버(400)와 팩토리 인터페이스(401) 사이에서 이송되는 것을 허용하기 위해 챔버 바디(402)의 제1 벽(408)에 제1 로딩 포트(438)가 배치되는데, 이것은 도 6과 관련하여 아래에서 더 논의된다. 제1 슬릿 밸브(444)는 로드 락 챔버(400)를 팩토리 인터페이스(401)로부터 격리하기 위해 제1 로딩 포트(438)를 선택적으로 밀봉한다. 기판(424)이 로드 락 챔버(400)와 이송 챔버(436) 사이에서 이송되는 것을 허용하기 위해 챔버 바디(402)의 제2 벽(410)에 제2 로딩 포트(439)가 배치되는데, 이것은 도 6과 관련하여 아래에서 더 논의된다. 제1 슬릿 밸브(444)와 실질적으로 유사한 제2 슬릿 밸브(446)는 로드 락 챔버(400)를 이송 챔버(436)의 진공 환경으로부터 격리하기 위해 제2 로딩 포트(439)를 선택적으로 밀봉한다.
제1 기판 홀더(404)는 챔버 최하부(416) 위에 배치된 제2 기판 홀더(406)에 동심(concentrically) 연결된다(즉, 제2 기판 홀더의 최상부에 적층된다). 기판 홀더들(404, 406)은 챔버 바디(402)의 최하부(416)를 통해 연장되는 샤프트(482)에 연결되는 후프(420)에 일반적으로 탑재된다. 전형적으로, 각각의 기판 홀더(404, 406)는 하나의 기판을 보유하도록 구성된다. 샤프트(482)는, 챔버 바디(402) 내에서 기판 홀더들(404 및 406)의 상승을 제어하는, 로드 락 챔버(400) 외부에 배치된 리프트 메커니즘(496)에 연결된다. 벨로우즈(484)가 챔버 바디(402)의 최하부(416)와 후프(420) 사이에 연결되고 샤프트(482) 주위에 배치되어, 제2 기판 홀더(406)와 최하부(416) 사이에 가요성 밀봉을 제공하며, 그에 의해 챔버 바디(402)로부터의 또는 챔버 바디 내로의 누설을 방지하며, 로드 락 챔버(400) 내의 압력을 손상시키지 않으면서 기판 홀더들(404, 406)의 상승 및 하강을 용이하게 한다.
제1 기판 홀더(404)는 팩토리 인터페이스(401)로부터의 미처리 기판을 유지하기 위해 이용되는 한편, 제2 기판 홀더(406)는 이송 챔버(436)로부터 리턴되는 처리 기판을 유지하기 위해 이용된다. 배출 및 배기 동안 로드 락 챔버(400) 내에서의 유동은 배출 통로(430) 및 펌프 통로(432)의 위치로 인해 실질적으로 층류이고, 미립자 오염을 최소화하도록 구성된다.
위에서 설명된 처리/로드 락 챔버들은 기판의 표면으로부터 오염물들을 제거하는데 유도 결합 플라즈마 또는 용량 결합 플라즈마를 이용한다. 다른 실시예에서, 처리 챔버는 단계(102A)의 오염물 제거 프로세스를 수행하는데 이용되는 환원 가스 함유 플라즈마(예를 들어, 수소 함유 플라즈마)를 발생시키기 위해 마이크로파 에너지 소스를 이용할 수 있다.
위에서 설명된 환원 방법들은 기판으로부터 오염물들을 제거하기 위해 수소 함유 플라즈마를 일반적으로 이용한다. 기판의 표면으로부터 오염물들을 제거하기 위한 다른 접근법은 산화 프로세스(102B)를 이용하는 것이다. 산화 프로세스들은 실리콘(Si) 및 게르마늄(Ge) 표면들 상에서 이용하기에 적합할 수 있지만, SiGe 표면으로부터 오염물들을 제거하는 데에는 적합하지 않을 수 있다. SiGe 표면의 산화는 표면에서의 조성 장애(compositional disturbance)를 야기할 수 있다. 일 실시예에서, 실온 및 20 mTorr에서 유도 결합 산소 함유 플라즈마를 이용하는 산화 프로세스(102B)가 수행되어 오염물들을 제거한다. 다른 실시예에서, 약 50 내지 약 600℃, 예컨대 약 400℃의 온도에서 라디컬 산화 프로세스가 수행되어 오염물들을 제거한다.
다른 실시예에서, 산화 프로세스(102B)는 기판의 표면으로부터 오염물들을 제거하기 위해 유도 결합 산소 함유 플라즈마를 이용한다. 산소 함유 플라즈마 내에서 발생되는 라디컬들 및/또는 이온들은 기판의 표면 상에 배치된 오염물과 상호작용하여, 그것이 기판의 표면으로부터 탈착되거나 물리적으로 제거되게 할 것이다. 일부 구성들에서, 플라즈마는, 활성화된 산소 함유 가스 원자들과 기판의 표면 상에서 발견되는 오염물들의 상호작용으로 인해, 오염물들이 표면으로부터 탈착되게 할 수 있거나 오염물들을 없앨 수 있다. 또한, 산소 함유 플라즈마는 기판의 표면 상에 얇은 산화물 층을 형성할 수 있고, 그것은 표면을 조면화로부터 보호한다. 플라즈마는 O2 및 N2를 함유할 수 있고, 원격 발생될 수 있다. 처리 온도는 약 250℃일 수 있고, 처리 압력은 약 700 mT일 수 있다. 일례에서, 기판이 약 15 내지 약 500℃의 온도로 유지되고, 처리 영역의 처리 압력이 700 mTorr의 압력으로 유지되는 동안, 13.56MHz의 RF 주파수에서 100W 내지 5000W의 RF 전력을 이용하여 산소 함유 플라즈마가 발생될 수 있다. 본 예에서, 불활성 가스 내의 산소 함유 가스의 농도는 2% 내지 100%일 수 있다. 일 실시예에서, 이러한 산화 프로세스(102B)는 로드 락 챔버(400) 내에서 수행되고, 여기에서 배출 통로(430)의 유출구에 배치된 석영 확산기를 통해 O2 및 N2를 함유하는 원격 플라즈마가 도입된다.
다시 도 1을 참조하면, 단계(102)에서, 오염물들은 위에서 언급된 환원(102A) 및/또는 산화(102B) 오염 제거 프로세스 중 하나에 의해 제거될 수 있다. 따라서, 오염물들은 산화 프로세스(102B), 환원 프로세스(102A), 또는 환원 프로세스(102A)와 그에 후속하는 산화 프로세스(102B)에 의해 제거될 수 있다. 일부 경우들에서, 오염물들은 산화 프로세스(102B)와 그에 후속하는 환원 프로세스(102A)를 수행함으로써 제거될 수 있다. 산화/환원 프로세스들(102B, 102A)은 세정 프로세스(단계(104)) 전에 Si 기판의 Si, Ge 또는 SiGe 표면으로부터 탄소 또는 탄화수소와 같은 오염물들을 제거하는 것을 돕는다. 일부 경우들에서, 오염물이 없는 표면은, 단계(102) 동안 형성되거나 단계(102) 이전에 형성된 산화물 층을 포함할 수 있다. 산화물 층은 위에서 설명된 산화 프로세스(102B)의 결과, 또는 자연 산화물 층일 수 있다. 단계(104)에서, 기판의 표면은 플라즈마 에칭 프로세스를 이용하여 추가로 세정된다(즉, 산화물 층을 제거함). 단계(104)의 적어도 일부 동안 수행되는 플라즈마 에칭 프로세스는 불소 기반일 수 있다.
일 실시예에서, 플라즈마 에칭 프로세스는 원격 플라즈마 보조 건식 에칭 프로세스이고, 그것은 NF3 및 NH3 플라즈마 부산물에 대한 기판의 동시적인 노출을 수반한다. 일례에서, 플라즈마 에칭 프로세스는 캘리포니아주 산타 클라라에 있는 Applied Materials, Inc.로부터 입수가능한 SiCoNi™ 에칭 프로세스와 유사하거나 그것을 포함할 수 있다. 가스 종들의 원격 플라즈마 여기를 이용하는 일부 구성들은 플라즈마 손상 없는(plasma-damage-free) 기판 처리를 허용한다. 원격 플라즈마 에칭은 실리콘 산화물 층들에 대체로 등각성(conformal)이고 선택적일 수 있으며, 따라서 실리콘이 비결정질(amorphous)인지, 결정질인지 또는 다결정질인지에 무관하게 실리콘을 손쉽게 에칭하지 않는다. 원격 플라즈마 프로세스는 기판 재료가 제거될 때 기판의 표면 상에 성장되는 고체 부산물을 일반적으로 생성할 것이다. 고체 부산물들은 기판의 온도가 상승될 때 승화를 통해 후속하여 제거될 수 있다. 플라즈마 에칭 프로세스의 결과, 기판 표면상에 실리콘-수소(Si-H) 결합이 형성된다.
일 실시예에서, 플라즈마 에칭 프로세스는 약 1sccm 내지 약 20sccm 범위 내의, 예컨대 약 5sccm의 NF3 유량뿐만 아니라, 약 50sccm 내지 약 200sccm 범위 내의, 예컨대 약 100sccm의 NH3 유량을 포함할 수 있다. 플라즈마 에칭 프로세스는 약 5 Torr의 압력에서 수행될 수 있고, NF3 및 NH3을 이온화하기 위해 약 30W의 RF 전력 세팅이 이용될 수 있다. 다음으로, 부산물들은, 약 5초 내지 약 100초 동안, 예컨대 약 60초 동안, 약 120℃ 이상의 온도에서 기판을 어닐링함으로써 기판의 표면으로부터 승화될 수 있다. 불소 기반 세정의 다른 실시예들은 SiO2 자연 산화물들을 에칭하기 위해 플라즈마 또는 열(thermal heat)에서 NH3 가스 및 F2 또는 무수 HF 가스를 반응시키는 것을 수반한다. 가스 유동 비율들의 예들은 15℃ 내지 130℃의 온도에서 불소 가스 대 NH3 가스의 가스 유동 비율이 1:1 내지 1:10이다.
도 5는 단계(104)를 수행하도록 되어 있을 수 있는 세정 챔버(500)의 개략적인 단면도이다. 챔버(500)는 열 또는 플라즈마 기반 산화 프로세스 및/또는 플라즈마 보조 건식 에칭 프로세스를 수행하는 데에 특히 유용할 수 있다. 챔버(500)는 챔버 바디(512), 리드 어셈블리(514) 및 지지 어셈블리(516)를 포함한다. 리드 어셈블리(514)는 챔버 바디(512)의 상측 단부에 배치되고, 지지 어셈블리(516)는 챔버 바디(512) 내에 적어도 부분적으로 배치된다. 챔버(500)로부터 가스들을 제거하기 위해 진공 시스템이 이용될 수 있다. 진공 시스템은 챔버 바디(512) 내에 배치된 진공 포트(521)에 연결된 진공 펌프(518)를 포함한다.
리드 어셈블리(514)는 플라즈마 용적 또는 캐비티를 사이에 형성하도록 구성된 적어도 2개의 적층 컴포넌트(stacked components)를 포함한다. 제1 전극(520)이 제2 전극(522) 위에 수직으로 배치되어, 플라즈마 용적을 한정한다. 제1 전극(520)은 무선 주파수(RF) 전력 공급원과 같은 전력원(524)에 접속되고, 제2 전극(522)은 접지 또는 소스 리턴(source return)에 접속되어, 제1 전극(520)과 제2 전극(522) 사이에 커패시턴스를 형성한다. 리드 어셈블리(514)는, 블로커 플레이트(528) 및 가스 분배 플레이트(530)를 통해 세정 가스를 기판 표면에 제공하기 위한 하나 이상의 가스 유입구(526)를 또한 포함한다. 세정 가스는 이온화된 불소, 염소 또는 암모니아와 같은 이온화된 활성 라디컬 또는 에천트, 또는 오존과 같은 산화제일 수 있다. 추가로, 챔버(500)는 챔버(500) 내의 프로세스들을 제어하기 위한 제어기(502)를 포함한다.
지지 어셈블리(516)는 처리 동안 기판(510)을 위에 지지하기 위한 기판 지지체(532)를 포함할 수 있다. 기판 지지체(532)는 챔버 바디(512)의 최하부 표면 내에 형성된 중심 위치의 개구를 통해 연장되는 샤프트(536)에 의해 액추에이터(534)에 연결될 수 있다. 액추에이터(534)는 샤프트(536) 주위로부터의 진공 누설을 방지하는 벨로우즈(도시되지 않음)에 의해 챔버 바디(512)에 가요성 밀봉될 수 있다. 액추에이터(534)는 기판 지지체(532)가 챔버 바디(512) 내에서 프로세스 위치와 하부의 이송 위치 사이에 수직 이동되는 것을 허용한다. 이송 위치는 챔버 바디(512)의 측벽에 형성된 슬릿 밸브의 개구보다 약간 아래에 있다.
기판 지지체(532)는 처리될 기판을 위에 지지하기 위해 평평한 또는 실질적으로 평평한 표면을 갖는다. 기판 지지체(532)는 샤프트(536)에 의해 그에 연결되는 액추에이터(534)에 의해 챔버 바디(512) 내에서 수직 이동될 수 있다. 동작 시에, 기판 지지체(532)는 처리되고 있는 기판(510)의 온도를 제어하기 위해 리드 어셈블리(514)에 매우 가까운 위치까지 상승될 수 있다. 이로써 기판(510)은 분배 플레이트(530)로부터 방출되는 복사 또는 대류를 통해 가열될 수 있다.
기판 표면을 세정하기 위해, 상이한 세정 프로세스가 이용될 수 있다. 일 실시예에서, He 및 NF3을 함유하는 원격 플라즈마는 샤워헤드와 같은 가스 분배 플레이트를 통해 처리 챔버 내에 도입된다. NH3은 별개의 가스 유입구를 통해 챔버 내에 직접 주입된다.
프로세스 시퀀스(100)의 일례에서, 세정 프로세스(단계(104))는 캘리포니아주 산타 클라라에 있는 Applied Materials, Inc.로부터 입수가능한 SiCoNi™ 세정 챔버에서 수행될 수 있다. 다른 제조자들로부터 입수가능한 챔버들도 본 명세서에 설명되는 실시예들을 실시하기 위해 이용될 수 있다. 일 실시예에서, 단계들(102 및 104) 양자 모두는 도 2 내지 도 5에 도시된 챔버들 중 하나와 같은 단일의 처리 챔버 내에서 수행될 수 있다. 일례에서, 단계들(102 및 104) 양자 모두는 SiCoNi™ 세정 챔버 내에서 수행된다.
다음으로, 단계(106)에서, 세정 프로세스가 수행된 후, 기판의 표면 상에 에피택셜 실리콘 층이 형성될 수 있다. 기판의 표면에는 오염물이 없고, 이는 기판의 표면 상에 후속하여 형성되는 에피택셜 층의 품질을 개선한다. 일례에서, 에피택셜 퇴적은 800℃ 미만의 온도에서 수행되는 선택적 에피택셜 퇴적 프로세스일 수 있다. 이러한 예에서, 과열되는 경우에 왜곡되거나 확산될 수 있는 세밀한 피쳐들(delicate features)에 대한 웨이퍼 열 버짓(wafer thermal budget)을 제한하기 위해, 온도는 800℃를 초과하지 않도록 설정된다. 일 실시예에서, 에피택셜 층은 고온 화학적 기상 증착(CVD) 프로세스를 이용하여 퇴적된다. 이러한 열 CVD 프로세스에서, 에피택셜 층을 퇴적하기 위해, 디클로로실란, 실란, 디실란, 게르만, 염화수소, 또는 그들의 조합과 같은 처리 가스들이 이용된다. 처리 온도는 800℃ 미만이고, 처리 압력은 5 내지 600 Torr이다. 단계들(102, 104 및 106)이 수행될 때, 계면들에서의 오염물들이 환원되었고, 형성되는 에피택셜 층은 비교적 결함이 없다.
도 6은 본 발명의 실시예들에 따라 도 1에 도시된 처리 시퀀스(100)를 완료하기 위해 이용될 수 있는 처리 시스템(600)을 도시한다. 도 6에 도시된 바와 같이, 복수의 처리 챔버(602)가 제1 이송 챔버(604)에 연결된다. 제1 이송 챔버(604)는 또한 처리 챔버들(606)의 제1 쌍에 연결된다. 제1 이송 챔버(604)는, 처리 챔버들(606)과 처리 챔버들(602) 사이에서 기판들을 이송하기 위해 중앙 배치된 이송 로봇(도시되지 않음)을 갖는다. 처리 챔버들(606)은 제2 이송 챔버(610)에 연결되고, 이것은 오염물들의 제거(단계(102))를 위한 처리 챔버(614), 및 기판의 세정(단계(104))을 위한 세정 챔버(616)에 연결된다. 제2 이송 챔버(610)는, 로드 락 챔버(612)의 세트와 처리 챔버(614) 또는 세정 챔버(616) 사이에서 기판들을 이송하기 위해 중앙 배치된 이송 로봇(도시되지 않음)을 갖는다. 팩토리 인터페이스(620)는 로드 락 챔버들(612)에 의해 제2 이송 챔버(610)에 접속된다. 팩토리 인터페이스(620)는 로드 락 챔버들(612)의 반대측에서 하나 이상의 포드(pod)(630)에 연결된다. 포드들(630)은 전형적으로 세정실로부터 접근가능한 FOUP(front opening unified pods)이다.
동작 동안, 기판은 먼저 처리 챔버(614)에 이송되고, 거기에서는, 기판 표면으로부터 탄소 또는 탄화수소와 같은 오염물들을 제거하기 위해 환원 프로세스, 산화 프로세스, 또는 환원 프로세스 후의 산화 프로세스, 또는 그 반대가 수행된다. 오염물 제거 프로세스는 도 1에서 단계(102) 하에서 설명된다. 다음으로, 기판은 단계(104)가 수행되는 세정 챔버(616)에 이송된다. 단계(102)와 단계(104) 사이의 대기 시간은 8 내지 12 시간일 수 있다. 일 실시예에서, 단계(102)와 단계(104) 사이의 대기 시간은 약 2 내지 3 시간일 수 있다. 일반적으로, 대기 시간은, 제조되는 디바이스의 성능에 대한 소정의 부정적인 영향을 방지하기 위해, 제1 프로세스가 기판 상에서 완료되고나서 제2 프로세스가 기판 상에서 완료되기 전에 기판이 대기 또는 다른 오염물에 노출될 수 있는 시간으로서 정의된다.
다음으로, 세정 기판은 하나 이상의 처리 챔버(602)에 이송되고, 거기에서는 단계(106) 하에서 설명되는 것과 같은 에피택셜 퇴적이 수행된다. 3개의 단계(102, 104 및 106) 전부가 동일한 처리 시스템 내에서 수행되므로, 기판이 다양한 챔버들에 이송될 때 진공이 파괴되지 않으며, 이는 오염의 가능성을 감소시키고, 퇴적되는 에피택셜 막의 품질을 개선한다.
다른 실시예에서, 오염물 제거 단계(102)는, 세정 챔버(616)와 하나 이상의 처리 챔버(602)를 포함하는 처리 시스템의 일부가 아닌 챔버 내에서 수행된다. 도 7에 도시된 바와 같이, 기판 표면 상의 오염물들은 처리 챔버(702) 내에서 제거된다. 다음으로, 기판은 처리 챔버(614)를 갖지 않는 처리 시스템(600)인 처리 시스템(700)에 이송된다. 기판은 단계(104)가 수행되는 세정 챔버(616)에 이송된다. 다음으로, 기판은 단계(106)가 수행되는 처리 챔버들(602) 중 적어도 하나에 이송된다.
요약하면, 에피택셜 퇴적 전에, 기판 표면으로부터 오염물들을 제거하고 기판을 세정하는 방법이 개시된다. 오염물 제거 프로세스는 환원 프로세스, 산화 프로세스, 또는 환원 프로세스와 산화 프로세스를 포함하는 처리 시퀀스일 수 있다. 다음으로, 산화물 층을 제거하기 위해, 기판 상에서 불소 함유 플라즈마 에칭이 수행된다. 불소 함유 플라즈마 에칭은 탄화수소 또는 탄소 기반일 수 있는 오염물들을 제거하는 데에 효과가 없을 수 있으므로, 플라즈마 에칭 전의 제거 프로세스는 오염물들의 제거에 도움을 주고, 이는 결국 후속하여 기판 상에 퇴적되는 에피택셜 층의 품질을 개선한다.
전술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 고안될 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판의 표면을 세정하기 위한 방법으로서,
    상기 기판의 표면으로부터 오염물들을 제거하는 단계 - 상기 오염물들은 환원 프로세스에 의해 제거됨 -;
    다음으로, 플라즈마 에칭 프로세스의 이용에 의해 상기 기판의 표면을 세정하는 단계 - 상기 플라즈마 에칭 프로세스 동안 적어도 하나의 프로세스 가스가 이용됨 -; 및
    다음으로, 상기 기판의 표면 상에 에피택셜 층을 형성하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 환원 프로세스는 유도 결합 플라즈마를 이용하는, 방법.
  3. 제2항에 있어서,
    상기 유도 결합 플라즈마는 수소 함유 플라즈마인, 방법.
  4. 제3항에 있어서,
    상기 환원 프로세스는 약 20 mT의 압력에서 수행되는, 방법.
  5. 제3항에 있어서,
    상기 환원 프로세스는 약 700 mT의 압력에서 수행되는, 방법.
  6. 제3항에 있어서,
    상기 유도 결합 플라즈마는 원격 발생되는, 방법.
  7. 제1항에 있어서,
    상기 오염물들은 상기 환원 프로세스 및 그에 후속하는 산화 프로세스에 의해 제거되는, 방법.
  8. 제1항에 있어서,
    상기 적어도 하나의 프로세스 가스는 NF3인, 방법.
  9. 기판의 표면 상에 에피택셜 층을 형성하기 위한 방법으로서,
    상기 기판의 표면으로부터 오염물들을 제거하는 단계 - 상기 오염물들은 환원 프로세스에 의해 제거됨 -;
    다음으로, 불소 함유 플라즈마 에칭 프로세스의 이용에 의해 상기 기판의 표면을 세정하는 단계; 및
    다음으로, 상기 기판의 표면 상에 에피택셜 층을 형성하는 단계
    를 포함하는 방법.
  10. 제9항에 있어서,
    상기 환원 프로세스는 유도 결합 플라즈마를 이용하는, 방법.
  11. 제10항에 있어서,
    상기 유도 결합 플라즈마는 수소 함유 플라즈마인, 방법.
  12. 제11항에 있어서,
    상기 환원 프로세스는 약 20 mT의 압력에서 수행되는, 방법.
  13. 제9항에 있어서,
    상기 환원 프로세스는 수소 함유 가스를 갖는 용량 결합 플라즈마를 이용하는, 방법.
  14. 제9항에 있어서,
    상기 환원 프로세스는, 마이크로파에 의해 활성화되는(energized), 수소 함유 가스를 갖는 플라즈마를 이용하는, 방법.
  15. 제9항에 있어서,
    상기 오염물들은 상기 환원 프로세스 및 그에 후속하는 산화 프로세스에 의해 제거되는, 방법.
KR1020167005985A 2013-08-09 2014-07-22 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치 KR102245729B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361864444P 2013-08-09 2013-08-09
US61/864,444 2013-08-09
PCT/US2014/047688 WO2015020792A1 (en) 2013-08-09 2014-07-22 Method and apparatus for precleaning a substrate surface prior to epitaxial growth

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217012078A Division KR20210047971A (ko) 2013-08-09 2014-07-22 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20160042010A true KR20160042010A (ko) 2016-04-18
KR102245729B1 KR102245729B1 (ko) 2021-04-28

Family

ID=52447486

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217012078A KR20210047971A (ko) 2013-08-09 2014-07-22 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
KR1020167005985A KR102245729B1 (ko) 2013-08-09 2014-07-22 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217012078A KR20210047971A (ko) 2013-08-09 2014-07-22 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Country Status (6)

Country Link
US (4) US9683308B2 (ko)
JP (1) JP6637420B2 (ko)
KR (2) KR20210047971A (ko)
CN (3) CN105453233B (ko)
TW (4) TW202316487A (ko)
WO (1) WO2015020792A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190016537A (ko) * 2016-06-03 2019-02-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
KR20190126254A (ko) * 2018-05-01 2019-11-11 에스피티에스 테크놀러지스 리미티드 기판 상에 패시베이션층을 형성하는 방법
KR20200035187A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
KR20200035185A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 통합 에피택시 및 사전세정 시스템
KR20210063459A (ko) * 2016-09-15 2021-06-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세스를 위한 통합 시스템
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105453233B (zh) * 2013-08-09 2019-10-22 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US9735009B2 (en) * 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
DE102015101966B4 (de) * 2015-02-11 2021-07-08 Infineon Technologies Austria Ag Verfahren zum Herstellen eines Halbleiterbauelements mit Schottkykontakt und Halbleiterbauelement
JP2018532258A (ja) * 2015-08-17 2018-11-01 オントス イクイップメント システムズ インコーポレイテッド 大気圧プラズマによる準備工程を使用するエピタキシャル成長
TWI782220B (zh) 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
US10861693B2 (en) 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
WO2018052476A1 (en) * 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
WO2018052477A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
JP2020532114A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 一体型エピタキシシステム高温汚染物質除去
WO2019118601A1 (en) * 2017-12-13 2019-06-20 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11018223B2 (en) * 2018-07-20 2021-05-25 Applied Materials, Inc. Methods for forming device isolation for semiconductor applications
JP7114384B2 (ja) * 2018-07-26 2022-08-08 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
JP7099398B2 (ja) * 2019-04-18 2022-07-12 株式会社Sumco 気相成長方法及び気相成長装置
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム
JP7292173B2 (ja) * 2019-10-11 2023-06-16 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
EP4298479A1 (en) * 2021-02-25 2024-01-03 Applied Materials, Inc. Methods and apparatus for ruthenium oxide reduction on extreme ultraviolet photomasks
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
KR20240029768A (ko) 2021-09-03 2024-03-06 어플라이드 머티어리얼스, 인코포레이티드 하나 이상의 압력 안정화 챔버들을 갖는 클러스터 툴들, 시스템들 및 방법들
CN114855270B (zh) * 2022-04-21 2023-07-28 南昌大学 一种类分子束外延设备及薄膜制备方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6423538A (en) * 1987-07-20 1989-01-26 Nec Corp Method and equipment for manufacturing semiconductor device
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
WO2007020874A1 (ja) * 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. 薄膜形成方法および半導体デバイスの製造方法
KR20080021724A (ko) * 2006-01-17 2008-03-07 어플라이드 머티어리얼스, 인코포레이티드 낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정
KR20100041786A (ko) * 2007-07-26 2010-04-22 어플라이드 머티어리얼스, 인코포레이티드 기판 표면을 세정하기 위한 방법 및 장치
KR20110136831A (ko) * 2009-03-05 2011-12-21 어플라이드 머티어리얼스, 인코포레이티드 감소된 계면 오염을 갖는 층들의 증착 방법
KR20120051046A (ko) * 2009-08-24 2012-05-21 썽뜨르 나쇼날르 드 라 르쉐르쉐 씨엉띠삐끄 실리콘 기판의 표면 세정 방법

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63297547A (ja) * 1987-05-29 1988-12-05 Nippon Telegr & Teleph Corp <Ntt> 基板清浄化法
JPS63312644A (ja) * 1987-06-15 1988-12-21 Nippon Telegr & Teleph Corp <Ntt> 基板清浄化法
JPH01225127A (ja) * 1988-03-04 1989-09-08 Oki Electric Ind Co Ltd 基板清浄化方法及び基板加熱装置
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
JPH118226A (ja) * 1997-06-17 1999-01-12 Oki Electric Ind Co Ltd 半導体基板表面の清浄化方法及びその装置
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP2000164712A (ja) * 1998-11-27 2000-06-16 Sony Corp 電子装置の製造方法
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US20030062064A1 (en) 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP4037154B2 (ja) * 2002-04-15 2008-01-23 松下電器産業株式会社 プラズマ処理方法
US6911233B2 (en) 2002-08-08 2005-06-28 Toppoly Optoelectronics Corp. Method for depositing thin film using plasma chemical vapor deposition
KR20040048019A (ko) * 2002-12-02 2004-06-07 주성엔지니어링(주) 실리콘 에피텍셜층 형성방법
KR100483594B1 (ko) * 2002-12-27 2005-04-15 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
CN100377317C (zh) * 2003-04-22 2008-03-26 东京毅力科创株式会社 硅氧化膜的去除方法及处理装置
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7524769B2 (en) * 2005-03-31 2009-04-28 Tokyo Electron Limited Method and system for removing an oxide from a substrate
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
CN101415865B (zh) 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
JP2007305730A (ja) * 2006-05-10 2007-11-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
TWI453816B (zh) 2007-05-31 2014-09-21 Ulvac Inc 電漿處理裝置之乾洗方法
WO2009013034A1 (en) 2007-07-20 2009-01-29 Interuniversitair Microelektronica Centrum (Imec) Method for providing a crystalline germanium layer on a substrate
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
KR20130092574A (ko) 2010-08-04 2013-08-20 어플라이드 머티어리얼스, 인코포레이티드 기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
CN105453233B (zh) * 2013-08-09 2019-10-22 应用材料公司 于外延生长之前预清洁基板表面的方法和设备

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6423538A (en) * 1987-07-20 1989-01-26 Nec Corp Method and equipment for manufacturing semiconductor device
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
WO2007020874A1 (ja) * 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. 薄膜形成方法および半導体デバイスの製造方法
KR20080021724A (ko) * 2006-01-17 2008-03-07 어플라이드 머티어리얼스, 인코포레이티드 낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정
KR20100041786A (ko) * 2007-07-26 2010-04-22 어플라이드 머티어리얼스, 인코포레이티드 기판 표면을 세정하기 위한 방법 및 장치
KR20110136831A (ko) * 2009-03-05 2011-12-21 어플라이드 머티어리얼스, 인코포레이티드 감소된 계면 오염을 갖는 층들의 증착 방법
KR20120051046A (ko) * 2009-08-24 2012-05-21 썽뜨르 나쇼날르 드 라 르쉐르쉐 씨엉띠삐끄 실리콘 기판의 표면 세정 방법

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190016537A (ko) * 2016-06-03 2019-02-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
KR20210063459A (ko) * 2016-09-15 2021-06-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세스를 위한 통합 시스템
US11164767B2 (en) 2016-09-15 2021-11-02 Applied Materials, Inc. Integrated system for semiconductor process
KR20200035187A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
KR20200035185A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 통합 에피택시 및 사전세정 시스템
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
KR20190126254A (ko) * 2018-05-01 2019-11-11 에스피티에스 테크놀러지스 리미티드 기판 상에 패시베이션층을 형성하는 방법
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Also Published As

Publication number Publication date
US20180016705A1 (en) 2018-01-18
CN105453233A (zh) 2016-03-30
TW201523694A (zh) 2015-06-16
TW201909236A (zh) 2019-03-01
TWI641022B (zh) 2018-11-11
KR20210047971A (ko) 2021-04-30
US10428441B2 (en) 2019-10-01
US20190382917A1 (en) 2019-12-19
JP6637420B2 (ja) 2020-01-29
CN110735181A (zh) 2020-01-31
KR102245729B1 (ko) 2021-04-28
US20210010160A1 (en) 2021-01-14
US20150040822A1 (en) 2015-02-12
US10837122B2 (en) 2020-11-17
CN105453233B (zh) 2019-10-22
JP2016528734A (ja) 2016-09-15
US9683308B2 (en) 2017-06-20
TWI721321B (zh) 2021-03-11
TW202316487A (zh) 2023-04-16
CN107574476A (zh) 2018-01-12
TW202135137A (zh) 2021-09-16
WO2015020792A1 (en) 2015-02-12

Similar Documents

Publication Publication Date Title
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US8309440B2 (en) Method and apparatus for cleaning a substrate surface
TWI678729B (zh) 用於選擇性沉積之設備及方法
KR20180085807A (ko) 세정 방법
TW202333281A (zh) 用於深溝槽內的低溫選擇性磊晶之方法及設備
TW201721712A (zh) 清洗方法
TW202217039A (zh) 電漿沉積的膜中之氫管控
US20240035195A1 (en) Methods, systems, and apparatus for forming layers having single crystalline structures
TW202411480A (zh) 用於形成具有單結晶結構的層的方法、系統及設備

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant