KR20200035185A - 통합 에피택시 및 사전세정 시스템 - Google Patents

통합 에피택시 및 사전세정 시스템 Download PDF

Info

Publication number
KR20200035185A
KR20200035185A KR1020207008636A KR20207008636A KR20200035185A KR 20200035185 A KR20200035185 A KR 20200035185A KR 1020207008636 A KR1020207008636 A KR 1020207008636A KR 20207008636 A KR20207008636 A KR 20207008636A KR 20200035185 A KR20200035185 A KR 20200035185A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
processing
coupled
plasma
Prior art date
Application number
KR1020207008636A
Other languages
English (en)
Other versions
KR102300508B1 (ko
Inventor
라라 하우릴착
슈베르트 에스. 츄
투샤르 만드레카
에롤 씨. 산체스
킨 퐁 로
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200035185A publication Critical patent/KR20200035185A/ko
Application granted granted Critical
Publication of KR102300508B1 publication Critical patent/KR102300508B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Led Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 개시내용의 구현들은 일반적으로, 프로세싱 장치에 관한 것이며, 그 프로세싱 장치는, 적어도 하나의 기상 에피택시 챔버에 커플링된 이송 챔버; 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버; 및 이송 챔버에 커플링된 로드 락 챔버를 포함하며, 플라즈마 산화물 제거 챔버는, 가스 분배기 및 혼합 챔버를 갖는 덮개 조립체; 덮개 조립체의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제1 가스 유입구; 덮개 조립체의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제2 가스 유입구; 덮개 조립체의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제3 가스 유입구; 및 기판 지지부를 포함하고, 기판 지지부는, 기판 지지 표면; 기판 지지 표면의 리세스에 배치되고, 기판 지지부를 통해 리프트 액추에이터에 커플링된 리프트 부재를 갖는다.

Description

통합 에피택시 및 사전세정 시스템
[0001] 본 개시내용의 구현들은 일반적으로, 기판의 표면을 세정하기 위한 장치 및 방법에 관한 것이다.
[0002] 집적 회로들은 실리콘 및 다른 반도체 기판들 내에 그리고 실리콘 및 다른 반도체 기판들 상에 형성된다. 단결정 실리콘의 경우, 용융 실리콘의 배스(bath)로부터 잉곳을 성장시킨 후에, 응고된 잉곳을 다수의 기판들로 절단함으로써, 기판들이 제조된다. 이어서, 도핑되거나 또는 도핑되지 않을 수 있는 결함이 없는 실리콘 층을 형성하기 위해, 단결정질 실리콘 기판 상에 에피택셜 실리콘 층이 형성될 수 있다. 반도체 디바이스들, 이를테면 트랜지스터들이 에피택셜 실리콘 층으로부터 제조될 수 있다. 형성된 에피택셜 실리콘 층의 전기적 특성들은 일반적으로, 단결정질 실리콘 기판의 특성들보다 더 우수하다.
[0003] 단결정질 실리콘 및 에피택셜 실리콘 층의 표면들은 전형적인 기판 제작 설비 주변 조건들에 노출될 때 오염되기 쉽다. 예컨대, 기판들의 핸들링 및/또는 기판 프로세싱 설비에서의 주변 환경에 대한 노출로 인해, 에피택셜 층의 증착 전에 단결정질 실리콘 표면 상에 자연 산화물(native oxide) 층이 형성될 수 있다. 부가적으로, 주변 환경에 존재하는 외래 오염물들, 이를테면 탄소 및 산소 종이 단결정질 표면 상에 증착될 수 있다. 단결정질 실리콘 표면 상의 자연 산화물 층 또는 오염물들의 존재는 단결정질 표면 상에 후속하여 형성되는 에피택셜 층의 품질에 악영향을 미친다. 따라서, 기판들 상에 에피택셜 층들이 성장되기 전에, 표면 산화 및 다른 오염물들을 제거하기 위해, 기판들을 사전-세정하는 것이 바람직하다. 그러나, 사전-세정 프로세스들은 대개, 하나 이상의 독립형 진공 프로세스 챔버들에서 수행되며, 이는 기판 핸들링 시간, 및 주변 환경에 기판들을 노출시킬 가능성들을 증가시킬 수 있다.
[0004] 따라서, 기판 핸들링 시간 및 주변 환경에 대한 노출을 최소화하는, 에피택셜 증착 프로세스를 수행하기 전에 기판 표면을 세정하기 위한 개선된 기판 프로세싱 시스템을 제공하는 것이 본 기술 분야에 필요하다.
[0005] 본 개시내용은 프로세싱 시스템을 설명하며, 그 프로세싱 시스템은, 적어도 하나의 막 형성 챔버에 커플링된 이송 챔버; 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버 ― 플라즈마 산화물 제거 챔버는 원격 플라즈마 소스, 및 가열기 및 냉각 채널을 포함하는 기판 지지부를 포함함 ―; 및 이송 챔버에 커플링된 로드 락 챔버를 포함한다.
[0006] 기판을 프로세싱하는 방법이 본원에서 또한 설명되며, 그 기판을 프로세싱하는 방법은, NH3, HF, 및 라디칼들을 포함하는 프로세싱 가스에 기판을 노출시키는 것을 포함하는 프로세스에 의해 기판으로부터 산화물을 제거하는 단계; 및 기상 에피택시 프로세스에 의해 기판 상에 막을 형성하는 단계를 포함한다.
[0007] 프로세싱 장치가 본원에서 또한 설명되며, 그 프로세싱 장치는, 적어도 하나의 기상 에피택시 챔버에 커플링된 제1 이송 챔버; 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버; 및 이송 챔버에 커플링된 로드 락 챔버를 포함하며, 플라즈마 산화물 제거 챔버는, 가스 분배기 및 혼합 챔버를 갖는 덮개 조립체; 덮개 조립체의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제1 가스 유입구; 덮개 조립체의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제2 가스 유입구; 덮개 조립체의 일부를 통해 형성되고, 혼합 챔버와 유체 연통하는 제3 가스 유입구; 및 기판 지지부를 포함하고, 기판 지지부는, 기판 지지 표면; 기판 지지부에 매립된 하나 이상의 저항성 가열기들 및 냉각 채널; 및 기판 지지 표면의 리세스(recess)에 배치되고, 기판 지지부를 통해 리프트 액추에이터에 커플링된 리프트 부재를 갖는다.
[0008] 앞서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 구현들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 구현들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 일 구현에 따른 프로세싱 시퀀스를 예시한다.
[0010] 도 2a는 본 개시내용의 일 구현에 따른, 도 1의 세정 프로세스를 수행하기 위해 사용되는 세정 챔버의 단면도이다.
[0011] 도 2b는 도 2a의 프로세싱 챔버의 일부의 확대도이다.
[0012] 도 2c는 일 실시예에 따른 기판 지지부의 확대 단면도이다.
[0013] 도 3은 에피택셜 증착 프로세스를 수행하기 위한 단일 기판 화학 기상 증착(CVD) 반응기를 예시한다.
[0014] 도 4은 에피택셜 증착 프로세스를 수행하기 위한 배면 가열 프로세스 챔버의 개략적인 단면도를 예시한다.
[0015] 도 5은 에피택셜 증착 프로세스를 수행하기 위한 CVD 챔버의 개략적인 단면도이다.
[0016] 도 6는 본원에서 설명되는 바와 같은 세정 및 증착 프로세스들을 수행하기 위한 예시적인 진공 프로세싱 시스템을 예시한다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 도시된 것이 아니고, 명확성을 위해 간략화될 수 있다. 일 구현의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
[0018] 도 1은 본 개시내용의 일 구현에 따른 프로세싱 시퀀스(100)를 예시한다. 박스(102)에서, 세정 프로세스를 사용하여 반도체 기판의 표면으로부터 산화물들이 제거된다. 기판은 실리콘 함유 재료를 포함할 수 있으며, 표면은 실리콘(Si), 게르마늄(Ge), 또는 실리콘 게르마늄 합금들(SiGe)과 같은 재료를 포함할 수 있다. 일부 구현들에서, Si, Ge, 또는 SiGe 표면은 그 Si, Ge, 또는 SiGe 표면 상에 배치된, 산화물 층, 이를테면 자연 산화물 층 및 오염물들을 가질 수 있다. 산화물들 및 오염물들, 이를테면 탄소 함유 오염물들에 대한 에피택셜 증착 프로세스들의 민감성으로 인해, 수 시간 동안의 가장 전형적인 클린룸 환경들에 대한 노출로부터 기인하는 표면 오염은 축적된 산화물들 및 오염물들이 후속하여 형성되는 에피택셜 층의 품질에 영향을 미칠 정도로 충분히 커질 수 있다.
[0019] 기판 표면은 산화물 제거 프로세스 및 오염물 제거 프로세스를 수행함으로써 세정될 수 있다. 일 구현에서, 세정 프로세스를 사용하여 기판의 표면으로부터 산화물들이 제거되며(박스(102)), 예컨대, 환원 프로세스를 사용하여 기판의 표면으로부터 오염물들, 이를테면 탄소 함유 오염물들이 제거된다. 세정 프로세스는 플라즈마 프로세스를 포함할 수 있다. 플라즈마 프로세스는 수소(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), 불소 함유 가스, 이를테면 NF3, HF, 또는 이들 가스들의 임의의 조합을 포함하는 가스로 형성된 플라즈마를 사용할 수 있다. 플라즈마는 유도성 또는 용량성 커플링될 수 있거나, 또는 플라즈마는 프로세싱 챔버에서 마이크로파 소스에 의해 형성될 수 있다. 프로세싱 챔버는 기판이 배치된 프로세싱 구역으로부터 공간적으로 분리된 원격 플라즈마 챔버일 수 있다. 본원에서 설명되는 "공간적으로 분리된"이라는 용어는, 하나 이상의 챔버 컴포넌트들, 이를테면, 도 2a에 도시된 가스 분배기(230) 및 블로커 플레이트(228), 또는 심지어, 원격 플라즈마 챔버와 기판 프로세싱 챔버 사이의 도관에 의해, 기판 프로세싱 구역으로부터 분리된 플라즈마 형성 구역을 나타낼 수 있다.
[0020] 일 구현에서, 플라즈마는 용량성 커플링 플라즈마 소스를 사용하여 형성된다. 플라즈마로부터의 라디칼들은 기판 위에 배치된 가스 분배 플레이트를 통과할 수 있으며, 그 기판은 섭씨 약 5도 내지 섭씨 약 100도의 온도, 이를테면 섭씨 약 5도 내지 섭씨 약 75도, 예컨대 섭씨 약 10도의 온도로 지지부 상에 포지셔닝된다. 프로세싱 압력은 대기압 미만 압력(subatmospheric pressure), 예컨대 약 500 mTorr 내지 약 20 Torr, 이를테면 약 2 Torr 내지 약 10 Torr의 압력일 수 있다. 라디칼들은 기판에 도달한 후에 표면 산화물들과 반응한다. 플라즈마 에칭 프로세스를 수행하도록 적응될 수 있는 예시적인 프로세싱 챔버들은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 SiCoNiTM 또는 SelectraTM 챔버들을 포함한다. 다른 제조자들로부터의 챔버들이 또한 사용될 수 있다.
[0021] 하나의 예시적인 구현에서, 플라즈마 세정 프로세스는, 가스들 중 하나 이상의 가스들의 플라즈마 부산물들을 선택적으로 포함하는 HF 및 NH3에 대한 기판의 동시 노출을 수반하는 원격 플라즈마 보조 건식 세정 프로세스이다. 불활성 가스들, 이를테면 아르곤 및 헬륨이 또한 사용될 수 있다. 3개의 가스들(불활성/HF/NH3) 중 임의의 하나 또는 이들 가스들의 조합이 위에서 설명된 바와 같이 에너지에 노출되어 이들 가스들의 플라즈마가 형성될 수 있다. 플라즈마는 프로세스 챔버로 방출하기 위한 다른 가스들과 혼합되거나, 또는 플라즈마 및 다른 가스들이 상이한 경로들을 따라 프로세스 챔버에 제공되어 프로세스 챔버로의 도달 시에 혼합될 수 있다. 일 예에서, 플라즈마 세정 프로세스는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 SiCoNiTM 프로세스와 유사할 수 있거나 또는 SiCoNiTM 프로세스를 포함할 수 있다.
[0022] 원격 플라즈마 프로세스는 산화물 층들에 대해 대체로 등각적(conformal)이고 선택적일 수 있고, 그에 따라, 층들이 비정질인지, 결정질인지, 또는 다결정질인지와 무관하게, 실리콘, 게르마늄, 또는 질화물 층들을 쉽게 에칭하지 않는다. 산화물 대 실리콘 또는 게르마늄에 대한 HF/NH3 플라즈마 세정 프로세스의 선택비는 적어도 약 3:1, 그리고 일반적으로는 5:1 이상, 때로는 10:1이다. HF/NH3 플라즈마 세정 프로세스는 또한, 질화물에 비하여 산화물에 대해 매우 선택적이다. 산화물 대 질화물에 대한 HF/NH3 플라즈마 세정 프로세스의 선택비는 적어도 약 3:1, 일반적으로는 5:1 이상, 때로는 10:1이다.
[0023] 일부 실시예들에서, 원격 플라즈마 프로세스 동안, 또는 원격 플라즈마 프로세스를 수행한 후에, 임의의 생성된 부산물들을 제거하는 것을 돕기 위해, 프로세싱된 기판에 일정 양의 열 에너지가 가해질 수 있다. 일부 실시예들에서, 열 에너지가 복사성, 대류성, 및/또는 전도성 열 전달 프로세스를 통해 제공되며, 이는 기판 표면 상에서 발견되는 원하지 않는 부산물들이 승화되게 한다.
[0024] 선택적인 박스(103)에서, 기판의 표면으로부터 탄소 오염물들을 제거함으로써, 제2 세정 프로세스가 수행될 수 있다. 박스(106)에서, 기판의 표면 상에 에피택셜 층이 형성된다. 위에서 설명된 바와 같이, 이전에 세정된 경우, 기판의 표면에는 한결같이 산화물 및 오염물이 없으며, 이는 기판의 표면 상에 후속하여 형성되는 층들의 품질을 개선한다. 예시적인 에피택셜 프로세스는 섭씨 약 800도 미만, 예컨대 섭씨 약 450도 내지 650도의 온도로 수행되는 선택적 에피택셜 프로세스일 수 있다. 에피택셜 층은 고온 화학 기상 증착(CVD) 프로세스를 사용하여 형성될 수 있다. 에피택셜 층은 결정질 실리콘, 게르마늄, 또는 실리콘 게르마늄, 또는 임의의 적합한 반도체 재료, 이를테면 III-V 족 화합물 또는 II-VI 족 화합물일 수 있다. 하나의 예시적인 열 CVD 프로세스에서, 프로세싱 가스들, 이를테면, 클로로실란들 SiHxCl4-x(모노, 디, 트리, 테트라), 실란들 SixH2x+2(실란, 디실란, 트리실란 등), 게르만들 GexH2x+2(게르만, 디게르만 등), 수소 클로라이드 HCl, 염소 가스 Cl2, 또는 이들의 조합들이 에피택셜 층을 형성하기 위해 사용된다. 프로세싱 온도는 섭씨 800도 미만, 이를테면 섭씨 약 300도 내지 섭씨 약 600도, 예컨대 섭씨 약 450도이며, 프로세싱 압력은 5 Torr 내지 600 Torr이다. 에피택셜 증착 프로세스를 수행하기 위해 사용될 수 있는 예시적인 프로세싱 챔버는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 CenturaTM Epi 챔버이다. 다른 제조자들로부터의 챔버들이 또한 사용될 수 있다.
[0025] 박스들(102, 103, 및 106)은, 도 9에 예시되고 아래에서 더 설명되는 프로세싱 시스템과 같은 하나의 프로세싱 시스템에서 수행될 수 있다. 임의의 잔여 부산물들 또는 오염물들을 제거하고, 표면을 어닐링하여 임의의 표면 결함들을 제거하기 위해, 층 형성 프로세스(106)를 수행하기 전에, 프로세스들(102 및 103) 사이에 또는 프로세스들(102 및 103) 후에, 선택적인 열 처리가 또한 수행될 수 있다. 그러한 어닐링은 불활성 가스, 이를테면 아르곤 및 헬륨을 선택적으로 포함하는 수소 분위기 하에서 수행될 수 있고, 그리고 섭씨 400도 내지 800도의 온도들 및 1 Torr 내지 300 Torr의 압력들로 수행될 수 있다.
[0026] 도 2a는 박스(102)에서 발견되는 프로세스들 중 적어도 일부를 수행하도록 적응되어, 기판의 표면으로부터 오염물들, 이를테면 산화물들을 제거하도록 구성된 프로세싱 챔버(200)의 단면도이다. 도 2b는 도 2a의 프로세싱 챔버(200)의 일부의 확대도이다.
[0027] 프로세싱 챔버(200)는 열 또는 플라즈마-기반 세정 프로세스 및/또는 플라즈마 보조 건식 에칭 프로세스를 수행하는 데 특히 유용할 수 있다. 프로세싱 챔버(200)는 챔버 바디(212), 덮개 조립체(214), 및 지지 조립체(216)를 포함한다. 덮개 조립체(214)는 챔버 바디(212)의 상부 단부에 배치되며, 지지 조립체(216)는 챔버 바디(212) 내에 적어도 부분적으로 배치된다. 진공 시스템이 프로세싱 챔버(200)로부터 가스들을 제거하기 위해 사용될 수 있다. 진공 시스템은 챔버 바디(212)에 배치된 진공 포트(221)에 커플링된 진공 펌프(218)를 포함한다. 프로세싱 챔버(200)는 또한, 프로세싱 챔버(200) 내의 프로세스들을 제어하기 위한 제어기(202)를 포함한다.
[0028] 덮개 조립체(214)는 챔버(200) 내의 프로세싱 구역(222)에 전구체 가스들 및/또는 플라즈마를 제공하도록 구성된 복수의 스택킹된 컴포넌트들을 포함한다. 제1 플레이트(220)가 제2 플레이트(240)에 커플링된다. 제3 플레이트(244)가 제2 플레이트(240)에 커플링된다. 덮개 조립체(214)는 덮개 조립체(214)에 형성된 원뿔-형상 챔버(242)에 플라즈마를 공급하기 위해 전력 소스(224)에 연결될 수 있다. 덮개 조립체(214)는 또한, 덮개 스택의 상류에서 플라즈마를 생성하는 원격 플라즈마 소스에 연결될 수 있다. 원격 플라즈마 공동(예컨대, 도 2a 및 도 2b의 아이템들(222, 220, 240))이 가스 소스(252)에 커플링된다(또는 원격 플라즈마 소스(224)의 부재 시에 가스 소스(252)가 덮개 조립체(214)에 직접 커플링됨). 가스 소스(252)는 헬륨, 아르곤, 또는 다른 불활성 가스를 제공하도록 구성된 가스 소스를 포함할 수 있다. 일부 구성들에서, 가스 소스(252)에 의해 제공되는 가스는, 원격 플라즈마 소스(224)의 사용에 의해, 덮개 조립체(214)에 제공되는 플라즈마로 에너자이징될 수 있다. 대안적인 실시예들에서, 가스 소스(252)는, 프로세싱 챔버(200) 내에 배치된 기판의 표면에 도입되기 전에 원격 플라즈마 소스(224)에 의해 활성화될 수 있는 프로세싱 가스들을 제공할 수 있다. 도 2b를 참조하면, 원뿔-형상 챔버(242)는 개구(246)를 가지며, 개구(246)는 형성된 플라즈마가 원격 플라즈마 소스(224)로부터 덮개 조립체(214)의 제4 플레이트(250)에 형성된 볼륨(248)으로 유동할 수 있게 한다.
[0029] 덮개 조립체(214)의 일부 구성들에서, 플라즈마 소스로부터 전달되는 에너지의 인가에 의해, 원뿔-형상 챔버(242) 내에 플라즈마가 생성된다. 일 예에서, 원뿔-형상 챔버(242)에 포지셔닝된 가스들에 RF, VHF, 및/또는 UHF 에너지를 용량성 커플링시키기 위해 덮개 조립체(214)를 바이어싱함으로써, 에너지가 제공될 수 있다. 덮개 조립체(214)의 이러한 구성에서, 원격 플라즈마 소스(224)는 사용되지 않을 수 있거나, 또는 덮개 조립체(214) 내에 설치되지 않을 수 있다.
[0030] 제4 플레이트(250)에 형성된 중앙 도관(270)은, 볼륨(248)으로부터 제5 플레이트(254)를 통해 덮개 조립체(214)의 제6 플레이트(268)에 형성된 혼합 챔버(266)로 제공되는 플라즈마 생성 종을 제공하도록 적응된다. 중앙 도관(270)은 제5 플레이트(254) 내의 개구(264)를 통해 혼합 챔버(266)와 연통한다. 개구(264)는 중앙 도관(270)의 직경보다 더 작은 직경, 중앙 도관(270)의 직경보다 더 큰 직경, 또는 중앙 도관(270)의 직경과 동일한 직경을 가질 수 있다. 도 2b의 실시예에서, 개구(264)는 중앙 도관(270)과 동일한 직경을 갖는다.
[0031] 제4 플레이트(250)는 또한, 혼합 챔버(266)에 가스들을 제공하도록 구성된 복수의 유입구들(256 및 258)을 포함한다. 유입구(256)는 제1 가스 소스(260)에 커플링되며, 유입구(258)는 제2 가스 소스(262)에 커플링된다. 제1 가스 소스(260) 및 제2 가스 소스(262)는 프로세싱 가스들 뿐만 아니라, 캐리어 가스로서 활용되는 불활성 가스들, 예컨대 노블 가스들, 이를테면 아르곤 및/또는 헬륨을 포함할 수 있다. 제1 가스 소스(260)는 암모니아(NH3) 뿐만 아니라 아르곤을 포함할 수 있다. 제2 가스 소스(262)는 불소 함유 가스들, 수소 함유 가스들, 또는 이들의 조합을 함유할 수 있다. 일 예에서, 제2 가스 소스(262)는 수소 플루오라이드(HF) 뿐만 아니라 아르곤을 함유할 수 있다.
[0032] 도 2b에 예시된 바와 같이, 일부 구성들에서, 유입구(256)는 플레이트(254)에 형성된 복수의 홀들(265) 및 원통형 채널(259)(가상으로 도시됨)을 통해 혼합 챔버(266)에 커플링된다. 유입구(258)는 제5 플레이트(254)에 형성된 복수의 홀들(267) 및 원통형 채널(257)(가상으로 도시됨)을 통해 혼합 챔버(266)에 커플링된다. 플레이트(254)에 형성된 홀들(265, 267)은 일반적으로, 홀들(265, 267)이 혼합 챔버(266) 내로의 가스들(이 가스들은 이들의 각각의 가스 소스(260, 262)로부터 제공됨)의 균일한 유동을 가능하게 하도록 사이즈가 설정된다. 일 구성에서, 홀들(267)은 제4 플레이트(250)에 형성된 원통형 채널(257)의 대향 측벽들에 의해 정의된 개구의 폭보다 더 작은 직경을 갖는다. 홀들(267)은 전형적으로, 혼합 챔버(266) 내로의 균일한 유체 유동을 제공하기 위해, 원통형 채널(257)의 중심선의 둘레 주위에 분포된다. 일 구성에서, 홀들(265)은 제4 플레이트(250)에 형성된 원통형 채널(259)의 대향 측벽들에 의해 정의된 개구의 폭보다 더 작은 직경을 갖는다. 홀들(265)은 전형적으로, 혼합 챔버(266) 내로의 균일한 유체 유동을 제공하기 위해, 원통형 채널(259)의 중심선의 둘레 주위에 분포된다.
[0033] 유입구들(256 및 258)은 측 방향으로 제4 플레이트(250)를 통과하여 제5 플레이트(254) 쪽으로 휘어져 제5 플레이트(254)를 통해 혼합 챔버(266)까지 관통하는 각각의 유체 유동 경로들을 제공한다. 덮개 조립체(214)는 또한, 샤워헤드와 같은 가스 분배 플레이트일 수 있는 제7 플레이트 또는 제1 가스 분배기(272)를 포함하며, 여기서, 덮개 조립체(214)에서 혼합된 다양한 가스들이, 제7 플레이트 또는 제1 가스 분배기(272)에 형성된 천공부들(274)을 통해 유동된다. 천공부들(274)은 혼합 챔버(266)와 유체 연통하여, 혼합 챔버(266)로부터 제1 가스 분배기(272)를 통하는 유동 경로들을 제공한다. 도 2a를 다시 참조하면, 샤워헤드와 같은 가스 분배 플레이트일 수 있는 제2 가스 분배기(230)와 같은 가스 분배 플레이트 및 블로커 플레이트(228)가 덮개 조립체(214) 아래에 배치된다.
[0034] 대안적으로, 기판 표면을 세정하기 위해, 상이한 세정 프로세스가 활용될 수 있다. 예컨대, He 및 NF3를 함유하는 원격 플라즈마가 덮개 조립체(214)를 통해 프로세싱 챔버(200) 내에 도입될 수 있는 한편, 챔버 바디(212)의 측면에 배치되어 가스 소스(미도시)에 커플링된 별개의 가스 유입구(225)를 통해 NH3가 프로세싱 챔버(200) 내에 직접 주입될 수 있다.
[0035] 지지 조립체(216)는 프로세싱 동안 기판(210)을 상부에 지지하기 위한 기판 지지부(232)를 포함할 수 있다. 기판 지지부(232)는 챔버 바디(212)의 최하부에 형성된 중앙-위치 개구를 통해 연장되는 샤프트(236)에 의해 액추에이터(234)에 커플링될 수 있다. 액추에이터(234)는 샤프트(236) 주위의 진공 누설을 방지하는 벨로즈(미도시)에 의해 챔버 바디(212)에 유연하게 밀봉될 수 있다. 액추에이터(234)는 기판 지지부(232)가 챔버 바디(212) 내에서 프로세싱 포지션과 로딩 포지션 사이에 수직으로 이동될 수 있게 한다. 로딩 포지션은 챔버 바디(212)의 측벽에 형성된 터널(미도시)의 개구보다 약간 아래에 있다.
[0036] 기판 지지부(232)는 프로세싱될 기판을 상부에 지지하기 위한 평탄한 또는 실질적으로 평탄한 기판 지지 표면을 갖는다. 기판 지지부(232)는, 샤프트(236)에 의해 기판 지지부(232)에 커플링된 액추에이터(234)에 의해, 챔버 바디(212) 내에서 수직으로 이동될 수 있다. 일부 단계들의 경우, 기판 지지부(232)는, 프로세싱되는 기판(210)의 온도를 제어하기 위해, 덮개 조립체(214)에 매우 근접한 포지션으로 상승될 수 있다. 따라서, 기판(210)은, 제2 가스 분배기(230) 또는 다른 복사 소스로부터 방출되는 복사에 의해, 또는 개재(intervening) 가스를 통한 제2 가스 분배기(230)로부터의 대류 또는 전도에 의해, 가열될 수 있다. 일부 프로세스 단계들에서, 부가적인 열 프로세싱 단계들을 수행하기 위해, 이를테면 어닐링 단계를 수행하기 위해, 기판이 리프트 핀들(251) 상에 배치될 수 있다.
[0037] 도 2c는 도 2a의 기판 지지부(232)의 확대 단면도이다. 기판 지지부(232)는 유체 공급 도관(241) 및 유체 리턴 도관(243)과 유체 연통하는 열 제어 플리넘(235)을 포함하며, 도관들(241 및 243) 각각은 샤프트(236)를 통해 배치된다. 열 제어 플리넘(235)은, 냉각 유체가 유체 공급 도관(241)을 통해 열 제어 플리넘(235) 내로 흐르게 하여 유체 리턴 도관(243)을 통해 밖으로 순환되게 하는 것에 의한, 기판 지지부(232)를 위한 냉각 피처일 수 있다.
[0038] 기판 지지부(232)는 또한, 복수의 가열기들(237 및 239)을 포함할 수 있다. 이 실시예에서, 복수의 가열기들은 제1 가열기(237) 및 제2 가열기(239)를 포함한다. 제1 및 제2 가열기들(237 및 239)은 기판 지지 표면과 가열기들 사이의 열 커플링을 가능하게 하기 위한 위치에서 기판 지지부(232) 내에 실질적으로 공면적인 관계로 배치된다. 제1 가열기(237)는 기판 지지부(232)의 주변부에 배치되고, 제2 가열기(239)는 기판 지지부(232)의 중앙 영역에 배치되어, 구역 온도 제어가 제공된다. 제1 및 제2 가열기들(237 및 239) 각각은 저항성 가열기들일 수 있으며, 그 저항성 가열기들은 샤프트(236)를 통해 각각 배치된 각각의 전력 도관들(249 및 247)에 의해 전력 소스들(미도시)에 커플링된다.
[0039] 동작 시, 온도 제어는 열 제어 플리넘(235)과 가열기들(237 및 239)의 동시 동작에 의해 제공될 수 있다. 열 제어 플리넘(235)에는 위에서 설명된 바와 같이 냉각 유체가 공급될 수 있으며, 저항성 가열기들로서 가열기들(237 및 239)에 전력이 제공될 수 있다. 이러한 방식으로, 별개의 제어 회로들은 하나의 아이템, 예컨대 가열기들(237 및 239)에 대해 빠른 응답을 제공하고, 열 제어 플리넘(235)에 대해 더 느린 응답을 제공하거나, 또는 그 반대가 되도록 튜닝될 수 있다. 최소한, 최적화된 구역 온도 제어 시스템을 달성하기 위해, 열 제어 플리넘(235), 제1 가열기(237), 및 제2 가열기(239)에 상이한 제어 파라미터들이 적용될 수 있다.
[0040] 도 2c에 도시된 바와 같이, 별개의 리프트 부재(245)가 지지 조립체(216)에 포함될 수 있다. 기판이 기판 지지 표면 상에 놓일 때, 부재(245)의 리프트 핀들(251)을 수용하기 위해, 리세스가 기판 지지 표면에 제공될 수 있다. 리프트 부재(245)는, 샤프트(236)를 통해 배치된 리프트 부재(245)의 연장부에 의해, 리프트 액추에이터(255)에 커플링될 수 있다. 리프트 액추에이터는 제1 가스 분배기(272) 쪽으로 기판 지지 표면으로부터 기판을 리프팅하기 위해 리프트 부재(245)를 수직으로 이동시킬 수 있다. 리프트 부재(245)는, U-형상, 원형, 말굽-형상, 또는 임의의 편리한 형상일 수 있는, 개방형 후프 또는 폐쇄형 후프와 같은 후프일 수 있다. 리프트 부재(245)는 기판을 리프팅할 때 구조적 강도를 제공하기 위한 두께를 갖는다. 일 예에서, 리프트 부재는 세라믹 재료로 제조되고, 두께가 약 1 mm이다.
[0041] 도 3은 일 실시예에 따른, 석영 프로세스 챔버 또는 반응 챔버(305)를 포함하는 단일 기판 화학 기상 증착(CVD) 반응기(300)를 예시한다. 반응기(300)는 본원에서 개시되는 바와 같은, SiGe 및 Ge 막들을 포함하는 다수의 상이한 재료들의 CVD를 위해 활용될 수 있다. 더욱이, 예시된 반응기(300)는, 아래의 논의로부터 명백하게 될 바와 같이, 동일한 챔버(305)에서 다수의 증착 단계들을 달성할 수 있다.
[0042] 반응기(300)는 일반적으로, 직사각형 박스의 형상을 가질 수 있다. 프로세스 챔버(305)의 벽들에 의한 상당한 흡수 없이 프로세스 챔버(305)에 열 에너지를 제공하기 위해, 복수의 복사 열 소스들이 프로세스 챔버(305) 외부에 지지된다. 반도체 기판들을 프로세싱하기 위한 "저온 벽(cold wall)" CVD 반응기의 상황에서 실시예들이 설명되지만, 본원에서 설명되는 방법들은 유도성 또는 저항성 가열을 이용하는 것들과 같은 다른 가열/냉각 시스템들과 관련하여 유용할 것임이 이해될 것이다.
[0043] 복사 열 소스들은 복수의 세장형 가열 엘리먼트들(310)(본 도면에서는 하나만 도시됨)을 포함하는 상부 가열 조립체를 포함한다. 가열 엘리먼트들(310)은 세장형 튜브-타입 복사 가열 엘리먼트들, 이를테면 램프들이다. 가열 엘리먼트들(310)은 이격 평행 관계로 배치되고, 그리고 또한, 프로세스 챔버(305)를 통하는 반응물 가스 유동 경로(화살표(312)로 도시됨)와 실질적으로 평행하게 배치된다. 하부 가열 조립체는 유사한 가열 엘리먼트들(315), 이를테면 램프들을 포함하며, 가열 엘리먼트들(315)은 프로세스 챔버(305) 아래에 포지셔닝되고, 가열 엘리먼트들(310)에 대해 횡 방향으로 배향된다. 각각 상부 및 하부 가열 엘리먼트들(310, 315) 위 및 아래에 있는 러프 스페큘러 반사기 플레이트(rough specular reflector plate)들(미도시)에 의해 복사 열의 일부가 프로세스 챔버(305) 내로 확산 반사된다. 부가적으로, 프로세스 챔버(305)의 최하부를 통해 연장되는 저온 지지 구조들에 의해 생성되는 열 싱크 효과에 대응하기 위해, 복수의 스폿 램프들(320)이 기판 지지 구조(아래에서 설명됨)의 하면에 집중 열을 공급한다. 일부 실시예들에서, 가열 엘리먼트들(310, 315) 각각은, 상당한 흡수 없이 프로세스 챔버(305)의 벽들을 통해 전달되는 복사 열 에너지를 생성하는 고강도 텅스텐 필라멘트 램프이다. 반도체 프로세싱 장비의 기술 분야에 알려져 있는 바와 같이, 다양한 가열 엘리먼트들(310, 315, 320)의 전력은, 온도 센서들에 대한 응답으로, 독립적으로 또는 그룹화된 구역들로 제어될 수 있다.
[0044] 실리콘 기판(325)을 포함하는 워크피스가 프로세스 챔버(305) 내에서 기판 지지 구조(330) 상에 지지된 것으로 도시된다. 예시된 지지 구조(330)는 기판(325)이 상부에 놓이는 기판 홀더(332), 및 지지 스파이더(support spider)(334)를 포함한다. 스파이더(334)는 샤프트(336)에 탑재되며, 샤프트(336)는 챔버 하부 벽을 통해 연장되는 튜브(338)를 통해 하방으로 연장된다. 튜브(338)는 기판의 프로세싱 동안 유동할 수 있는 퍼지 가스의 소스와 연통한다. 퍼지 가스는 프로세스 가스들이 프로세스 챔버(305)의 하부 섹션에 진입하는 것을 억제하기 위해 활용될 수 있다. 퍼지 가스가 또한, 기판(325) 아래에서 수평으로 유동할 수 있다.
[0045] 복수의 온도 센서들이 기판(325) 근처에 포지셔닝된다. 온도 센서들은 광학 고온계들 또는 열전대들과 같은 다양한 형태들을 취할 수 있다. 예시된 실시예에서, 온도 센서들은 임의의 적합한 방식으로 기판 홀더(332) 아래에 서스펜딩(suspend)된 제1 또는 중앙 열전대(340)를 포함하는 열전대들을 포함한다. 중앙 열전대(340)는 기판 홀더(332) 근처에서 스파이더(334)를 통과한다. 반응기(300)는, 또한 기판(325) 근처에 있는 복수의 이차 또는 주변 열전대들을 더 포함하며, 그 복수의 이차 또는 주변 열전대들은 선행 에지 또는 전방 열전대(345), 후행 에지 또는 후방 열전대(350), 및 측부 열전대(미도시)를 포함한다. 주변 열전대들 각각은 슬립 링(352) 내에 하우징되며, 슬립 링(352)은 기판 홀더(332) 및 기판(325)을 둘러싼다. 중앙 및 주변 열전대들 각각은 온도 제어기에 연결되며, 그 온도 제어기는, 열전대들의 판독들에 대한 응답으로, 다양한 가열 엘리먼트들(310, 315, 320)의 전력을 세팅한다.
[0046] 주변 열전대들을 하우징하는 것에 부가하여, 슬립 링(352)은 고온 프로세싱 동안 복사 열을 흡수 및 방출한다. 슬립 링(352)은 기판 에지들에서의 더 큰 열 손실 또는 흡수를 보상하기 위해 활용될 수 있는데, 이 현상은 기판 에지들 근처의 구역들에서 표면적 대 부피의 비율이 더 큰 것으로 인해 발생하는 것으로 알려져 있다. 에지 손실들을 최소화함으로써, 슬립 링(352)은 기판(325)에 걸친 반경 방향 온도 불-균일성들의 리스크를 감소시킬 수 있다. 슬립 링(352)은 임의의 적합한 수단에 의해 서스펜딩될 수 있다. 예컨대, 예시된 슬립 링(352)은 지지 부재들(354) 상에 놓이며, 지지 부재들(354)은 전방 챔버 분할기(356) 및 후방 챔버 분할기(358)로부터 연장된다. 분할기들(356, 358)은 석영으로 형성되는 것이 바람직하다. 일부 어레인지먼트들에서, 후방 분할기(358)는 생략될 수 있다.
[0047] 예시된 프로세스 챔버(305)는 반응물 및 캐리어 가스들의 주입을 위한 유입구 포트(360)를 포함하며, 기판(325)이 또한, 유입구 포트(360)를 통해 수용될 수 있다. 유출구 포트(364)가 프로세스 챔버(305)의 대향 측에 있으며, 기판 지지 구조(330)는 유입구 포트(360)와 유출구 포트(364) 사이에 포지셔닝된다.
[0048] 유입구 컴포넌트(365)가 프로세스 챔버(305)에 피팅되고, 유입구 포트(360)를 둘러싸도록 적응되고, 수평 세장형 슬롯(367)을 포함하며, 수평 세장형 슬롯(367)을 통해 기판(325)이 삽입될 수 있다. 대체로 수직인 유입구(368)가 가스 소스들로부터 가스들을 수용하고, 그리고 그러한 가스들을 슬롯(367) 및 유입구 포트(360)와 연통시킨다. 도 3에 별도로 예시되어 있지 않지만, 가스 소스들은, 수소, 실리콘, 및 게르마늄 전구체들, 그리고 Si 및/또는 Ge 증착 전에 냉각 단계 동안 챔버 내에 표면 활성 화합물을 유동시키는 것을 포함하는, 본원에서 설명되는 바와 같은 단계들의 시퀀스를 제어하는 제어기(예컨대, 사전-프로그램된 컴퓨터)를 포함할 수 있다. 유입구(368)는 단일-기판 반응기를 위해 가스 유동의 균일성을 최대화하도록 설계된 가스 주입기들을 포함할 수 있다.
[0049] 유출구 컴포넌트(370)는, 배기 개구(372)가 유출구 포트(364)와 정렬하여 배기 도관들(374)로 이어지도록, 프로세스 챔버(305)에 유사하게 탑재된다. 차례로, 도관들(374)은 프로세스 챔버(305)로부터 프로세스 가스들을 배기시키기 위한 적합한 진공 수단(미도시)과 연통할 수 있다. 일 실시예에서, 프로세스 가스들은 프로세스 챔버(305) 및 하류 스크러버(downstream scrubber)(미도시)를 통해 흡인된다. 프로세스 챔버(305)를 통해 프로세스 가스들을 흡인하는 것을 돕고, 감압 프로세싱, 즉, 아래에서 논의되는 바와 같은, 대기압 미만이지만 초-고 진공 압력 범위들은 초과하는 압력을 위해 챔버를 진공배기시키기 위한 펌프 또는 팬이 포함되는 것이 바람직하다.
[0050] 예시된 반응기(300)는 또한, 여기 종 소스(376)를 포함하며, 여기 종 소스(376)는 반응기(300)로부터 상류에 포지셔닝된다. 예시된 실시예의 여기 종 소스(376)는 가스 라인(378)을 따라 애플리케이터(applicator) 및 마그네트론 전력 생성기를 포함하는 원격 플라즈마 생성기를 포함한다. 예시된 실시예에서, 마그네트론으로부터의 마이크로파 에너지는 가스 라인(378)을 따르는 애플리케이터에서 유동 가스에 커플링된다. 전구체 가스 소스(380)는 여기 종 소스(376) 내로의 도입을 위해 가스 라인(378)에 커플링된다. 캐리어 가스 소스(382)가 또한 가스 라인(378)에 커플링된다. 하나 이상의 브랜치(branch) 라인들(384)이 또한, 부가적인 반응물들을 위해 제공될 수 있다. 본 기술 분야에 알려져 있는 바와 같이, 가스 소스들(380, 382)은, 반응물 종의 형태 및 휘발성에 따라, 가스 탱크들, 버블러들 등을 포함할 수 있다. 소스(376)에 도입된 후에 프로세스 챔버(305) 내로 도입되는 캐리어 및 반응물 종의 상대적인 양들의 선택을 가능하게 하기 위해, 도시된 바와 같이, 별개의 질량 유량 제어기(MFC) 및 밸브들이 각각의 가스 라인에 제공될 수 있다. 여기 종 소스(376)는 플라즈마 강화 증착을 위해 이용될 수 있을 뿐만 아니라, 챔버(305)에 기판이 없을 때, 챔버(305)로부터 과도한 증착물을 세정하기 위해 에천트들을 여기시키는 데 활용될 수 있다.
[0051] 200 mm 기판들을 프로세싱하도록 설계된 단일-기판 프로세스 챔버(305)의 총 볼륨 용량은, 예컨대, 약 30 리터 미만, 이를테면 약 20 리터 미만, 그리고 일 실시예에서는 약 10 리터 미만이다. 예시된 챔버(305)는 약 7.5 리터의 용량을 갖는다. 분할기들(356, 358), 기판 홀더(332), 링(352), 및 튜브(338)로부터 유동하는 퍼지 가스에 의해, 예시된 프로세스 챔버(305)가 파티셔닝되기 때문에, 프로세스 가스들이 유동하는 유효 볼륨은 총 볼륨의 대략 절반(예컨대, 예시된 실시예에서는 약 3.77 리터)이다. 단일-기판 프로세스 챔버(305)의 볼륨은 프로세스 챔버(305)가 수용하도록 설계된 기판들의 사이즈에 따라 상이할 수 있다는 것이 이해된다. 예컨대, 300 mm 기판들을 위한 단일-기판 프로세스 챔버(305)는 약 100 리터 미만, 이를테면 약 60 리터, 그리고 일 실시예에서는 약 30 리터 미만이다. 일 예에서, 300 mm 기판을 프로세싱하기 위한 단일-기판 프로세스 챔버(305)는 약 24 리터의 총 볼륨과 함께 약 12 리터의 유효 볼륨을 갖는다.
[0052] Ge-함유 층을 위한 증착 온도들은 전형적으로, 섭씨(C) 약 250도 내지 섭씨 약 600도, 예컨대 섭씨 약 300도 내지 섭씨 약 450도의 범위이다. 예컨대, 전구체의 열 안정성이 감소됨에 따라, 더 낮은 증착 온도들이 더 적절한 경향이 있다. 단일-기판 프로세스 챔버(305) 내의 총 압력은 약 10-5 Torr 내지 약 800 Torr의 범위이다. 일부 실시예들에서, 압력은 약 200 mTorr 내지 약 760 Torr, 이를테면 약 1 Torr 내지 약 200 Torr, 예컨대 약 1 Torr 내지 약 60 Torr이다.
[0053] 도 4은 일 실시예에 따른, 저압 에피택셜 증착을 위해 구성된 배면 가열 프로세스 챔버(400)의 개략적인 단면도를 예시한다. 프로세스 챔버(400)는, 기판(325)의 상부 표면 상에 재료를 증착하는 것을 포함하여, 하나 이상의 기판들을 프로세싱하는 데 사용될 수 있다. 프로세스 챔버(400)는, 다른 컴포넌트들 중에서, 프로세스 챔버(400) 내에 배치된 기판 지지부(406)의 배면(404)을 가열하기 위한 복사 가열 램프들(402)의 어레이를 포함할 수 있다. 기판 지지부(406)는 도시된 바와 같은 디스크-형 기판 지지부(406)일 수 있거나, 또는 램프들(402)의 열 복사에 대한 기판의 노출을 가능하게 하기 위해 기판의 에지로부터 기판을 지지하는 링-형 기판 지지부(중앙 개구를 가짐)일 수 있다.
[0054] 기판 지지부(406)는 상부 돔(428)과 하부 돔(414) 사이에서 프로세스 챔버(400) 내에 위치된다. 상부 돔(428), 하부 돔(414), 및 상부 돔(428)과 하부 돔(414) 사이에 배치된 베이스 링(436)은 일반적으로, 프로세스 챔버(400)의 내부 구역을 정의한다. 기판(325)(실척대로 도시되지 않음)은 본 도면에 도시되지 않은 로딩 포트를 통해, 프로세스 챔버(400) 내로 이송되고, 기판 지지부(406) 상에 포지셔닝된다.
[0055] 기판 지지부(406)는 중앙 샤프트(432)에 의해 지지되며, 중앙 샤프트(432)는, 기판(325)의 로딩 및 언로딩, 그리고 일부 경우들에서는 프로세싱 동안, 기판(325)을 수직 방향(434)으로 이동시킨다. 기판 지지부(406)는 도 4에서 상승 프로세싱 포지션에 있는 것으로 도시되지만, 중앙 샤프트(432)에 커플링된 액추에이터(미도시)에 의해, 프로세싱 포지션 아래의 로딩 포지션으로 수직으로 이송될 수 있다. 프로세싱 포지션 아래로 하강될 때, 리프트 핀들(미도시)이 기판(325)과 접촉하고, 기판 지지부(406)로부터 기판(325)을 상승시킨다. 이어서, 로봇(미도시)이 프로세스 챔버(400)에 진입하여, 기판(325)과 맞물리고 로딩 포트를 통해 프로세스 챔버(400)로부터 기판(325)을 제거할 수 있다. 이어서, 기판 지지부(406)는, 기판(325)의 디바이스 측(416)을 위로 향하게 하여 기판(325)을 기판 지지부(406)의 전면(410) 상에 배치하기 위해, 프로세싱 포지션으로 수직으로 작동될 수 있다.
[0056] 기판 지지부(406)는, 프로세싱 포지션에 위치되어 있는 동안, 프로세스 챔버(400)의 내부 볼륨을 기판(325) 위에 있는 프로세스 가스 구역(456), 및 기판 지지부(406) 아래에 있는 퍼지 가스 구역(458)으로 분할한다. 기판 지지부(406)는, 프로세스 챔버(400) 내의 열적 및 프로세스 가스 유동 공간적 이상들의 영향을 최소화함으로써 기판(325)의 균일한 프로세싱을 가능하게 하기 위해, 프로세싱 동안 중앙 샤프트(432)에 의해 회전된다. 기판 지지부(406)는, 램프들(402)로부터의 복사 에너지를 흡수하여 복사 에너지를 기판(325)에 전도하기 위해, 실리콘 탄화물, 또는 실리콘 탄화물로 코팅된 흑연으로 형성될 수 있다.
[0057] 일반적으로, 상부 돔(428)의 중앙 윈도우 부분 및 하부 돔(414)의 최하부는 광학적 투명 재료, 이를테면 석영으로 형성된다. 상부 돔(428)의 두께 및 곡률도(degree of curvature)는 프로세스 챔버에 균일한 유동 균일성을 위한 더 평탄한 기하형상을 제공하도록 구성될 수 있다.
[0058] 램프들(402)의 어레이는 중앙 샤프트(432) 주위에서 특정된 최적의 바람직한 방식으로 하부 돔(414) 근방 및 아래에 배치되어, 프로세스 가스가 위로 통과할 때 기판(325)의 다양한 구역들의 온도를 독립적으로 제어할 수 있으며, 이는 기판(325)의 상부 표면 상으로의 재료의 증착을 가능하게 한다. 본원에서 상세히 논의되지 않지만, 증착되는 재료는 갈륨 비소, 갈륨 질화물, 또는 알루미늄 갈륨 질화물을 포함할 수 있다. 일부 실시예들에서, 복사 가열 램프들, 이를테면 램프들(402)의 어레이는 상부 돔(428) 위에 배치될 수 있다.
[0059] 램프들(402)은 기판(325)을 섭씨 약 200도 내지 섭씨 약 1600도의 범위 내의 온도까지 가열하도록 구성된 벌브들을 포함하도록 구성될 수 있다. 각각의 램프(402)는 전력 분배 보드(미도시)에 커플링되며, 그 전력 분배 보드를 통해 각각의 램프(402)에 전력이 공급된다. 램프들(402)은 램프헤드(445) 내에 포지셔닝되며, 램프헤드(445)는, 예컨대, 램프들(402) 사이에 위치된 채널들(449) 내로 도입되는 냉각 유체에 의해, 프로세싱 동안 또는 프로세싱 후에 냉각될 수 있다. 램프헤드(445)는 하부 돔(414)을 전도 및 복사로 냉각시키는데, 이는 부분적으로, 램프헤드(445)가 하부 돔(414)에 매우 근접해 있기 때문이다. 램프헤드(445)는 또한, 램프 벽들, 및 램프들 주의의 반사기들(미도시)의 벽들을 냉각시킬 수 있다. 대안적으로, 하부 돔(414)은 대류 접근법에 의해 냉각될 수 있다. 애플리케이션에 따라, 램프헤드(445)는 하부 돔(414)과 접촉할 수 있거나 또는 하부 돔(414)과 접촉하지 않을 수 있다.
[0060] 선택적으로, 원형 차폐부(467)가 기판 지지부(406) 주위에 배치될 수 있고, 그리고 라이너 조립체(463)에 의해 둘러싸인다. 차폐부(467)는 램프들(402)로부터 기판(325)의 디바이스 측(416)으로의 열/광 노이즈의 누설을 방지 또는 최소화하는 한편, 프로세스 가스들을 위한 예열 구역을 제공한다. 차폐부(467)는, CVD SiC, SiC로 코팅된 소결 흑연, 성장된 SiC, 불투명 석영, 코팅된 석영, 또는 프로세스 및 퍼징 가스들에 의한 화학적 분해에 대해 내성을 갖는 임의의 유사한 적합한 재료로 제조될 수 있다.
[0061] 라이너 조립체(463)는 베이스 링(436)의 내측 원주 내에 네스팅(nest)되거나 또는 베이스 링(436)의 내측 원주에 의해 둘러싸이도록 사이즈가 설정된다. 라이너 조립체(463)는 프로세스 챔버(400)의 금속성 벽들로부터 프로세싱 볼륨(즉, 프로세스 가스 구역(456) 및 퍼지 가스 구역(458))을 차폐한다. 금속성 벽들은 전구체들과 반응하여 프로세싱 볼륨에 오염을 야기할 수 있다. 라이너 조립체(463)가 단일 바디로서 도시되어 있지만, 라이너 조립체(463)는 상이한 구성들을 갖는 하나 이상의 라이너들을 포함할 수 있다.
[0062] 기판 지지부(406)로부터의 기판(325)의 배면 가열의 결과로서, 기판 지지부 상의 온도 측정들/제어를 위한 광학 고온계(418)의 사용이 수행될 수 있다. 이러한 방식으로 기판 전면(410)을 가열하는 것은 방사율 독립적이기 때문에, 광학 고온계(418)에 의한 이러한 온도 측정은 또한, 미지의 방사율을 갖는 기판(325)의 디바이스 측(416) 상에서 행해질 수 있다. 결과로서, 광학 고온계(418)는, 램프들(402)로부터의 최소 배경 복사가 광학 고온계(418)에 직접 도달하게 되는 상태로, 기판 지지부(406)로부터 열을 전도하는 고온(hot) 기판(325)으로부터의 복사만을 감지할 수 있다.
[0063] 기판(325)으로부터 복사하는 광을 다시 기판(325) 상으로 반사시키기 위해, 반사기(422)가 상부 돔(428) 외부에 선택적으로 배치될 수 있다. 반사기(422)는 클램프 링(430)을 사용하여 상부 돔(428)에 고정될 수 있다. 반사기(422)는 금속, 이를테면 알루미늄 또는 스테인리스 강으로 제조될 수 있다. 고 반사 코팅, 이를테면 금으로 반사기 영역을 코팅함으로써, 반사의 효율이 개선될 수 있다. 반사기(422)는 냉각 소스(미도시)에 연결된 하나 이상의 채널들(426)을 가질 수 있다. 채널들(426)은 반사기(422)를 냉각시키기 위해 반사기(422)의 측면 상에 형성된 통로(미도시)에 연결된다. 통로는 유체, 이를테면 물의 유동을 운반하도록 구성되고, 그리고 반사기(422)의 일부 또는 전체 표면을 덮는 임의의 원하는 패턴으로 반사기(422)의 측면을 따라 수평으로 이어질 수 있다.
[0064] 프로세스 가스 공급 소스(472)로부터 공급되는 프로세스 가스는 베이스 링(436)의 측벽에 형성된 프로세스 가스 유입구(474)를 통해 프로세스 가스 구역(456) 내로 도입된다. 프로세스 가스 유입구(474)는 대체로 반경 방향 내측 방향으로 프로세스 가스를 지향시키도록 구성된다. 막 형성 프로세스 동안, 기판 지지부(406)는 프로세싱 포지션에 위치될 수 있으며, 그 프로세싱 포지션은 프로세스 가스 유입구(474)에 인접하고, 프로세스 가스 유입구(474)와 대략 동일한 높이에 있으며, 그에 따라, 프로세스 가스는 층류로 기판(325)의 상부 표면에 걸쳐 유동 경로(473)를 따라 위로 그리고 주위로 유동할 수 있게 된다. 프로세스 가스는 프로세스 가스 유입구(474) 반대편에서 프로세스 챔버(400)의 측면 상에 위치된 가스 유출구(478)를 통해 (유동 경로(475)를 따라) 프로세스 가스 구역(456)에서 빠져나간다. 가스 유출구(478)를 통한 프로세스 가스의 제거는 가스 유출구(478)에 커플링된 진공 펌프(480)에 의해 가능하게 될 수 있다. 프로세스 가스 유입구(474)와 가스 유출구(478)가 서로 정렬되고 대략 동일한 높이에 배치되기 때문에, 그러한 평행 어레인지먼트는, 더 평탄한 상부 돔(428)과 조합될 때, 기판(325)에 걸쳐 대체로 평탄하고 균일한 가스 유동을 가능하게 하는 것으로 여겨진다. 기판 지지부(406)를 통한 기판(325)의 회전에 의해, 추가적인 반경 방향 균일성이 제공될 수 있다.
[0065] 베이스 링(436)의 측벽에 형성된 선택적인 퍼지 가스 유입구(464)를 통해(또는 프로세스 가스 유입구(474)를 통해) 퍼지 가스 소스(462)로부터 퍼지 가스 구역(458)으로 퍼지 가스가 공급될 수 있다. 퍼지 가스 유입구(464)는 프로세스 가스 유입구(474) 아래의 높이에 배치된다. 원형 차폐부(467) 또는 예열 링(미도시)이 사용되는 경우, 원형 차폐부 또는 예열 링은 프로세스 가스 유입구(474)와 퍼지 가스 유입구(464) 사이에 배치될 수 있다. 어느 경우에도, 퍼지 가스 유입구(464)는 대체로 반경 방향 내측 방향으로 퍼지 가스를 지향시키도록 구성된다. 막 형성 프로세스 동안, 기판 지지부(406)는 퍼지 가스가 층류로 기판 지지부(406)의 배면(404)에 걸쳐 유동 경로(465)를 따라 아래로 그리고 주위로 유동하도록 하는 포지션에 위치될 수 있다. 임의의 특정 이론에 의해 구속되는 것은 아니지만, 퍼지 가스의 유동은 프로세스 가스의 유동이 퍼지 가스 구역(458) 내에 진입하는 것을 방지하거나 또는 실질적으로 막거나, 또는 퍼지 가스 구역(458)(즉, 기판 지지부(406) 아래의 구역)에 진입하는 프로세스 가스의 확산을 감소시키는 것으로 여겨진다. 퍼지 가스는 퍼지 가스 유입구(464) 반대편에서 프로세스 챔버(400)의 측면 상에 위치된 가스 유출구(478)를 통해 (유동 경로(466)를 따라) 퍼지 가스 구역(458)에서 빠져나가고, 프로세스 챔버 밖으로 배기된다.
[0066] 도 5은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 CENTURA® 통합 프로세싱 시스템의 일부일 수 있는 CVD 또는 에피택셜 증착 프로세스 챔버(500)의 개략적인 단면도이다. 프로세스 챔버(500)는 프로세스 내성 재료, 이를테면 알루미늄 또는 스테인리스 강, 예컨대 316 L 스테인리스 강으로 제조된 하우징 구조(501)를 포함한다. 하우징 구조(501)는 프로세스 챔버(500)의 다양한 기능 엘리먼트들, 이를테면 석영 챔버(530)를 밀폐하며, 석영 챔버(530)는 상부 챔버(505) 및 하부 챔버(524)를 포함하고, 석영 챔버(530)에 프로세싱 볼륨(518)이 포함된다. 가스 분배 조립체(550)에 의해 반응성 종이 석영 챔버(530)에 제공되며, 프로세싱 부산물들은, 전형적으로 진공 소스(미도시)와 연통하는 유출구 포트(538)에 의해, 프로세싱 볼륨(518)으로부터 제거된다.
[0067] 기판 지지부(517)는 프로세싱 볼륨(518)으로 이송된 기판(325)을 수용하도록 적응된다. 기판 지지부(517)는 프로세스 챔버(500)의 종축(502)을 따라 배치된다. 기판 지지부(517)는 실리콘 재료, 이를테면 실리콘 탄화물로 코팅된 흑연 재료 또는 세라믹 재료, 또는 다른 프로세스 내성 재료로 제조될 수 있다. 전구체 반응물 재료들로부터의 반응성 종이 기판(325)의 표면(516)에 적용되며, 이어서, 표면(516)으로부터 부산물들이 제거될 수 있다. 기판(325) 및/또는 프로세싱 볼륨(518)의 가열은 복사 소스들, 이를테면 상부 램프 모듈들(510A) 및 하부 램프 모듈들(510B)에 의해 제공될 수 있다.
[0068] 일 실시예에서, 상부 램프 모듈들(510A) 및 하부 램프 모듈들(510B)은 적외선(IR) 램프들이다. 램프 모듈들(510A 및 510B)로부터의 비-열 에너지 또는 복사는 상부 석영 챔버(505)의 상부 석영 윈도우(504)를 통해, 그리고 하부 석영 챔버(524)의 하부 석영 윈도우(503)를 통해 이동한다. 필요한 경우, 상부 석영 챔버(505)를 위한 냉각 가스들은 유입구(512)를 통해 진입하고, 유출구(513)를 통해 빠져나간다. 프로세스 챔버(500)를 위한 희석, 퍼지, 및 벤트(vent) 가스들 뿐만 아니라 전구체 반응물 재료들이 가스 분배 조립체(550)를 통해 진입하고, 유출구 포트(538)를 통해 빠져나간다. 상부 석영 윈도우(504)가 만곡되거나 또는 볼록한 것으로 도시되어 있지만, 상부 석영 윈도우(504)는, 상부 석영 윈도우(504)의 양 측들의 압력이 실질적으로 동일한 한(즉, 대기압), 평면일 수 있거나 또는 오목할 수 있다.
[0069] 반응성 종을 에너자이징하고, 반응물들의 흡착 및 기판(325)의 표면(516)으로부터의 프로세스 부산물들의 탈착을 보조하는 데 사용되는, 프로세싱 볼륨(518) 내의 낮은 파장 복사는 전형적으로, 약 0.8 μm 내지 약 1.2 μm, 예컨대 약 0.95 μm 내지 약 1.05 μm의 범위이며, 예컨대, 에피택셜 성장되는 막의 조성에 따라, 다양한 파장들의 조합들이 제공된다.
[0070] 성분 가스들은 가스 분배 조립체(550)를 통해 프로세싱 볼륨(518)에 진입한다. 일반적으로 522로 도시된 바와 같이, 가스는 가스 분배 조립체(550)로부터 유동하여 포트(538)를 통해 빠져나간다. 기판 표면을 세정/패시베이팅하거나, 또는 에피택셜 성장되는 실리콘 및/또는 게르마늄-함유 막을 형성하기 위해 사용되는 성분 가스들의 조합들은 전형적으로, 프로세싱 볼륨 내로의 진입 전에 혼합된다. 프로세싱 볼륨(518) 내의 전체 압력은 유출구 포트(538) 상의 밸브(미도시)에 의해 조정될 수 있다. 프로세싱 볼륨(518)의 내부 표면의 적어도 일부는 라이너(531)에 의해 덮인다. 일 실시예에서, 라이너(531)는 불투명한 석영 재료를 포함한다. 이러한 방식으로, 챔버 벽은 프로세싱 볼륨(518) 내의 열로부터 단열된다.
[0071] 프로세싱 볼륨(518) 내의 표면들의 온도는, 상부 석영 윈도우(504) 위에 포지셔닝된 상부 램프 모듈들(510A)로부터의 복사와 조합하여, 냉각 가스의 유동(이는 유입구(512)를 통해 진입하여 유출구(513)를 통해 빠져나감)에 의해, 약 200 ℃ 내지 약 600 ℃ 이상의 온도 범위 내에서 제어될 수 있다. 하부 석영 챔버(524) 내의 온도는, 블로어 유닛(blower unit)(이는 도시되지 않음)의 속도를 조정하는 것에 의해, 그리고 하부 석영 챔버(524) 아래에 배치된 하부 램프 모듈들(510B)로부터의 복사에 의해, 약 200 ℃ 내지 약 600 ℃ 이상의 온도 범위 내에서 제어될 수 있다. 프로세싱 볼륨(518) 내의 압력은 약 0.1 Torr 내지 약 600 Torr, 이를테면 약 5 Torr 내지 약 30 Torr일 수 있다.
[0072] 기판(325)의 표면(516) 상의 온도는, 하부 석영 챔버(524)에서의 하부 램프 모듈들(510B)에 대한 전력 조정에 의해, 또는 상부 석영 윈도우(504) 위에 놓인 상부 램프 모듈들(510A)과, 하부 석영 챔버(524)에서의 하부 램프 모듈들(510B) 둘 모두에 대한 전력 조정에 의해 제어될 수 있다. 프로세싱 볼륨(518) 내의 전력 밀도는 약 40 W/cm2 내지 약 400 W/cm2, 이를테면 약 80 W/cm2 내지 약 120 W/cm2일 수 있다.
[0073] 일 양상에서, 가스 분배 조립체(550)는 기판(325) 또는 프로세스 챔버(500)의 종축(502)에 수직으로 배치되거나, 또는 기판(325) 또는 프로세스 챔버(500)의 종축(502)에 대하여 반경 방향(506)으로 배치된다. 이러한 배향에서, 가스 분배 조립체(550)는 기판(325)의 표면(516)에 평행하게 또는 기판(325)의 표면(516)에 걸쳐 반경 방향(506)으로 프로세스 가스들을 유동시키도록 적응된다. 하나의 프로세싱 애플리케이션에서, 프로세스 가스들은, 프로세싱 볼륨(518)으로의 도입 전에 가스들의 예열을 개시하고 그리고/또는 가스들 내의 특정 결합들을 파괴시키기 위해 프로세스 챔버(500)로의 도입 포인트에서 예열된다. 이러한 방식으로, 표면 반응 동역학은 기판(325)의 열 온도와 독립적으로 변경될 수 있다.
[0074] 동작 시, Si 및 SiGe 블랭킷 또는 선택적 막들을 형성하기 위한 전구체들이 하나 이상의 가스 소스들(540A 및 540B)로부터 가스 분배 조립체(550)로 제공된다. IR 램프들(556)(도 5에서는 하나만 도시됨)은 가스 분배 조립체(550) 내의 전구체들 뿐만 아니라 유동 경로(522)를 따르는 전구체들을 가열하기 위해 활용될 수 있다. 가스 소스들(540A, 540B)은, 가스 분배 조립체(550) 내에 도입 구역들, 이를테면, 평면도에서 볼 때, 반경 방향 외측 구역, 및 외측 구역들 사이의 반경 방향 내측 구역을 가능하게 하도록 구성된 방식으로, 가스 분배 조립체(550)에 커플링될 수 있다. 가스 소스들(540A, 540B)은 구역들 내로의 도입의 레이트를 제어하기 위해 밸브들(미도시)을 포함할 수 있다.
[0075] 가스 소스들(540A, 540B)은, 실란(SiH4), 디실란(Si2H6), 디클로로실란(SiH2Cl2), 헥사클로로디실란(Si2Cl6), 디브로모실란(SiH2Br2), 고차 실란들, 이들의 유도체들, 및 이들의 조합들을 포함하는 실란들과 같은 실리콘 전구체들을 포함할 수 있다. 가스 소스들(540A, 540B)은 또한, 게르마늄 함유 전구체들, 이를테면, 게르만(GeH4), 디게르만(Ge2H6), 게르마늄 테트라클로라이드(GeCl4), 디클로로게르만(GeH2Cl2), 이들의 유도체들, 및 이들의 조합들을 포함할 수 있다. 실리콘 및/또는 게르마늄 함유 전구체들이 수소 클로라이드(HCl), 염소 가스(Cl2), 수소 브로마이드(HBr), 및 이들의 조합들과 조합하여 사용될 수 있다. 가스 소스들(540A, 540B)은 가스 소스들(540A, 540B) 중 하나 또는 둘 모두에서 실리콘 및 게르마늄 함유 전구체들 중 하나 이상을 포함할 수 있다.
[0076] 전구체 재료들은 그러한 여기 상태로 천공 플레이트(554) 내의 개구들 또는 복수의 홀들(558)(도 5에서는 하나만 도시됨)을 통해 프로세싱 볼륨(518)에 진입하며, 일 실시예에서, 천공 플레이트(554)는 홀들(558)이 관통하여 형성되어 있는 석영 재료이다. 천공 플레이트(554)는 IR 에너지에 대해 투명하고, 그리고 클리어(clear) 석영 재료로 제조될 수 있다. 다른 실시예들에서, 천공 플레이트(554)는, IR 에너지에 대해 투명하고 프로세스 케미스트리 및 다른 프로세싱 케미스트리들에 대해 내성이 있는 임의의 재료일 수 있다. 에너자이징된 전구체 재료들은 천공 플레이트(554) 내의 복수의 홀들(558)을 통해, 그리고 복수의 채널들(552N)(도 5에서는 하나만 도시됨)을 통해 프로세싱 볼륨(518) 쪽으로 유동한다. IR 램프들(556)로부터의 비-열 에너지 및 광자들의 일부가 또한, 홀들(558), 천공 플레이트(554), 및 채널들(552N)을 통과함으로써(이는 가스 분배 조립체(550)의 내부 표면들 상에 배치된 반사성 재료 및/또는 표면에 의해 가능하게 됨), 전구체 재료들의 유동 경로(도 5에서 화살표(522)로서 도시됨)를 조명한다. 이러한 방식으로, 전구체 재료들의 진동 에너지는 도입 포인트로부터 유동 경로를 따라 프로세싱 볼륨(518)까지 유지될 수 있다.
[0077] 도 6는 본 개시내용의 구현들에 따른, 도 1에 예시된 프로세싱 시퀀스(100)를 완료하기 위해 사용될 수 있는 예시적인 진공 프로세싱 시스템(600)을 예시한다. 도 6에 도시된 바와 같이, 복수의 프로세싱 챔버들(602a, 602b, 602c, 602d)이 제1 이송 챔버(604)에 커플링된다. 프로세싱 챔버들(602a-602d)은 임의의 기판 관련 프로세스들, 이를테면, 어닐링, 화학 기상 증착, 물리 기상 증착, 에피택셜 프로세스, 에칭 프로세스, 열 산화 또는 열 질화 프로세스, 탈기 등을 수행하기 위해 사용될 수 있다. 일 구현에서, 프로세싱 챔버(602a)는 결정질 실리콘 또는 실리콘 게르마늄을 형성할 수 있는 막 형성 챔버, 이를테면 기상 에피택시 증착 챔버, 예컨대 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 Epi 챔버일 수 있다. 다른 구현에서, 프로세싱 챔버(602a)는 에피택시 증착 챔버, 이를테면 단일-기판 프로세싱 챔버(예컨대, 도 3에 관하여 설명된 반응기(300))일 수 있다. 다른 구현에서, 프로세싱 챔버(602a)는 도 4에 관하여 설명된 프로세스 챔버(400)일 수 있다. 다른 구현에서, 프로세싱 챔버(602a)는 도 5에 관하여 설명된 프로세스 챔버(500)일 수 있다.
[0078] 프로세싱 챔버(602b)는 급속 열 프로세싱 챔버(RTP)일 수 있다. 프로세싱 챔버(602c)는 플라즈마 에칭 챔버 또는 플라즈마 세정 챔버이다. 예컨대, 프로세싱 챔버(602c)는 도 2a에 관하여 설명된 프로세싱 챔버(200), 또는 도 3에 관하여 설명된 프로세싱 챔버(300)일 수 있다. 프로세싱 챔버(602d)는 탈기 챔버일 수 있다. 제1 이송 챔버(604)는 또한, 적어도 하나의 트랜지션(transition) 스테이션, 예컨대 한 쌍의 패스-스루 스테이션들(606, 608)에 커플링된다. 패스-스루 스테이션들(606, 608)은 진공 조건들을 유지하는 한편, 기판들이 제1 이송 챔버(604)와 제2 이송 챔버(610) 사이에서 이송될 수 있게 한다. 제1 이송 챔버(604)는 패스-스루 스테이션들(606, 608)과 프로세싱 챔버들(602a-602d) 중 임의의 프로세싱 챔버 사이에서 기판들을 이송하기 위한 로봇식 기판 핸들링 메커니즘(미도시)을 갖는다. 프로세싱 챔버들(602a-602d)이 도 6에서 특정 순서로 구성된 것으로 도시되어 있지만, 프로세싱 챔버들(602a-602d)은 임의의 원하는 순서로 구성될 수 있다.
[0079] 패스-스루 스테이션들(606, 608)의 하나의 단부는 제2 이송 챔버(610)에 커플링된다. 따라서, 제1 이송 챔버(604)와 제2 이송 챔버(610)는 패스-스루 스테이션들(606, 608)에 의해 분리 및 연결된다. 제2 이송 챔버(610)는 제1 플라즈마-세정 챔버(614)에 커플링되며, 제1 플라즈마-세정 챔버(614)는 기판의 표면으로부터 산화물들을 제거하기 위해 박스(602)에서 발견되는 프로세스들 중 적어도 일부를 수행하도록 적응된 프로세싱 챔버(200)(도 2a)와 같은 플라즈마 챔버일 수 있다. 일 구현에서, 제1 플라즈마-세정 챔버(614)는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스로부터 입수가능한 SiconiTM 또는 SelectraTM 챔버이다. 다른 구현에서, 플라즈마 세정 챔버(614)는 도 2a에 관하여 설명된 프로세싱 챔버(200)일 수 있다. 다른 구현에서, 플라즈마 세정 챔버(614)는 도 3에 관하여 설명된 프로세싱 챔버(300)일 수 있다.
[0080] 일 구현에서, 적어도 하나의 트랜지션 스테이션, 예컨대, 패스-스루 스테이션들(606, 608) 중 하나는 플라즈마-세정 챔버이도록 구성된다. 대안적으로, 기판의 표면으로부터 오염물들을 제거하기 위해, 플라즈마-세정 챔버가 패스-스루 스테이션들(606, 608) 중 하나에 커플링될 수 있다. 따라서, 프로세싱 시스템(600)은 제2 플라즈마-세정 챔버를 가질 수 있으며, 그 제2 플라즈마-세정 챔버는 패스-스루 스테이션들(606, 608) 중 하나이거나, 또는 패스-스루 스테이션들(606, 608) 중 하나에 연결된다. 도 6에 도시된 일 구현에서, 패스-스루 스테이션(606)은 제2 플라즈마-세정 챔버(616)를 포함한다. 제2 플라즈마-세정 챔버(616)는 기판의 표면으로부터 오염물들을 제거하기 위해 박스(102)에서 발견되는 프로세스들 중 적어도 일부를 수행하도록 적응된 프로세싱 챔버(300)(도 3)의 버전일 수 있다. 하나의 플라즈마-세정 챔버(616)만이 패스-스루 스테이션, 이 경우에서는 패스-스루 스테이션(606)에 커플링된 것으로 도시되어 있지만, 플라즈마-세정 챔버(예컨대, 프로세싱 챔버(300)의 버전)가 패스-스루 스테이션들(606 및 608) 둘 모두에 커플링될 수 있다는 것이 유의되어야 한다.
[0081] 제2 이송 챔버(610)는 또한, 한 세트의 로드 락 챔버(612)와 제1 플라즈마-세정 챔버(614) 또는 제2 플라즈마-세정 챔버(616) 사이에서 기판들을 이송하기 위한 로봇식 기판 핸들링 메커니즘(미도시)을 갖는다. 팩토리 인터페이스(620)가 로드 락 챔버들(612)에 의해 제2 이송 챔버(610)에 연결된다. 팩토리 인터페이스(620)는 로드 락 챔버들(612)의 반대편에서 하나 이상의 포드들(630)에 커플링된다. 포드들(630)은 전형적으로, 클린 룸(미도시)으로부터 접근가능한 FOUP(front opening unified pod)들이다.
[0082] 2개의 이송 챔버들이 도시되어 있지만, 이송 챔버들 중 임의의 이송 챔버가 생략될 수 있다는 것이 고려된다. 제2 이송 챔버(610)가 생략된 일 구현에서, 제2 플라즈마-세정 챔버(616)는 패스-스루 스테이션들(606 또는 608)에 의해 점유된 것으로 현재 도시된 위치에서 제1 이송 챔버(604)에 커플링될 수 있거나 또는 제1 이송 챔버(604) 내에 배치될 수 있다. 제1 이송 챔버(604)는 결정질 실리콘 또는 실리콘 게르마늄을 형성할 수 있는 하나 이상의 프로세싱 챔버들, 이를테면 에피택시 챔버, 예컨대 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 CenturaTM Epi 챔버에 커플링될 수 있다. 대안적으로, 제1 이송 챔버(604)가 생략될 수 있으며, 제2 플라즈마-세정 챔버(616)는 제2 이송 챔버(610)에 커플링된 패스-스루 스테이션(606)에 커플링될 수 있거나 또는 패스-스루 스테이션(606) 내에 배치될 수 있다. 그러한 경우, 제2 이송 챔버(610)는 결정질 실리콘 또는 실리콘 게르마늄을 형성할 수 있는 하나 이상의 프로세싱 챔버들에 커플링되도록 구성될 수 있다.
[0083] 동작 시, 기판들은 포드들(630)로부터 로드 락 챔버들(612) 중 하나 내에 배치된 운송 카세트(미도시)에서 진공 프로세싱 시스템(600)으로 운반된다. 제2 이송 챔버(610) 내의 로봇식 운송 메커니즘은 기판들을 한 번에 하나씩 로드 락 챔버들(612)로부터 제1 플라즈마-세정 챔버(614)로 운송하며, 제1 플라즈마-세정 챔버(614)에서, 기판의 표면으로부터 산화물들을 제거하기 위해, 세정 프로세스, 예컨대 박스(102)에서 발견되는 프로세스들이 수행된다. 기판 표면으로부터 산화물들이 제거되었으면, 제2 이송 챔버(610) 내에 배치된 로봇식 운송 메커니즘은 기판을 제1 플라즈마-세정 챔버(614)로부터 제2 플라즈마-세정 챔버(616)로 이송하며, 제2 플라즈마-세정 챔버(616)에서, 기판 표면으로부터 오염물들, 이를테면 탄소 또는 탄화수소들을 제거하기 위해, 환원 프로세스, 예컨대 박스(103)에서 발견되는 프로세스들이 수행된다. 본원의 단계들이 또한, 역순으로, 즉, 로봇식 운송 메커니즘을 사용하여 기판을 제2 플라즈마-세정 챔버(616)로부터 제1 플라즈마-세정 챔버(614)로 이송하여 수행될 수 있다는 것이 고려된다. 어느 경우에도, 이어서, 세정된 기판들은, 제1 이송 챔버(604) 내에 배치된 로봇식 운송 메커니즘에 의해, 제2 플라즈마-세정 챔버(616)(또는 제1 플라즈마-세정 챔버(614))로부터 하나 이상의 프로세싱 챔버들(602a-602d)로 이송된다. 하나 이상의 프로세싱 챔버들(602a-602d)은 에피택시 프로세스 챔버를 포함할 수 있으며, 그 에피택시 프로세스 챔버에서, 층 형성 프로세스, 이를테면 박스(106)에서 설명된 에피택셜 증착이 수행된다.
[0084] 하나 이상의 프로세싱 챔버들(602a-602d) 내의 프로세싱의 완료 시, 제1 이송 챔버(604) 내에 배치된 로봇식 운송 메커니즘은 기판을 프로세싱 챔버들(602) 중 어느 하나로부터 패스-스루 스테이션(608)으로 이동시킨다. 이어서, 제2 이송 챔버(610) 내에 배치된 로봇식 운송 메커니즘에 의해 기판이 패스-스루 스테이션(608)으로부터 제거되고, 다른 로드 락 챔버(612)로 이송되며, 그 다른 로드 락 챔버(612)를 통해 진공 프로세싱 시스템(600)으로부터 기판이 인출된다.
[0085] 동일한 진공 프로세싱 시스템(600) 내에서 도 1의 모든 3개의 박스들(102, 103, 및 106)의 프로세스들이 수행되기 때문에, 다양한 챔버들 사이에서 기판이 이송될 때 진공이 파괴되지 않으며, 이는 오염 가능성을 감소시키고, 증착되는 에피택셜 막의 품질을 개선한다. 기판들의 이동이 예시 목적들을 위해 본원에서 설명된 것임이 이해되어야 한다. 애플리케이션에 따라 변화될 수 있는 원하는 시퀀싱 프로그램에 따라, 진공 프로세싱 시스템(600)을 통한 기판들의 이동을 스케줄링하기 위해, 제어기(미도시)가 사용될 수 있다.
[0086] 본 개시내용의 이익들은 동일한 진공 프로세싱 시스템 상에 에피택셜 프로세스 챔버와 2개의 상이한 타입들의 사전-세정 프로세스 챔버들을 통합하는 개선된 진공 프로세싱 시스템을 포함한다. 사전-세정 프로세스 챔버들은 제1 플라즈마-세정 프로세스 챔버 및 제2 플라즈마-세정 프로세스 챔버를 포함할 수 있다. 동일한 진공 프로세싱 시스템 상의 2개의 타입들의 표면 재료 제거 챔버의 공존은 기판들이 표면 준비와 에피택셜 증착 사이에 진공에서 유지될 수 있게 하며, 이는 기판들이 대기(ambient)에 노출되는 시간을 감소시키고, 별개의 프로세싱 챔버 또는 시스템 상에서 기판들을 준비할 필요성을 제거한다. 또한, 이러한 아키텍처는, 2개의 이송 챔버들 사이의 패스-스루 스테이션이 또한 사전-세정 프로세스 챔버로서 기능하기 때문에, 진공 시스템 상의 프로세스 챔버들의 수를 최대화하며, 이는 또한, 기판들의 전체 핸들링 시간을 감소시킨다.
[0087] 도 1의 프로세스(102)의 예가 도 2a의 프로세싱 챔버(200)에서 수행될 수 있다. 아르곤이 원격 플라즈마 유닛(224)을 통해 라우팅되고, 아르곤 내의 5-10% HF의 제1 혼합물이 유입구(256)를 통해 라우팅되며, 아르곤 내의 25% NH3의 제2 혼합물이 유입구(258)를 통해 라우팅된다. 원격 플라즈마는 2 sLm으로 유동하는 아르곤 가스에 500 W의 마이크로파 또는 RF 전력을 인가함으로써 형성된다. 제1 혼합물은 500 sccm으로 제1 유입구(256)를 통해 유동되며, 제2 혼합물은 500 sccm으로 제2 유입구(258)를 통해 유동된다. 기판은 열 제어 플리넘(235)을 통해 온도 제어 유체를 라우팅함으로써 섭씨 10도의 온도로 유지된다. 기판 지지부(232)는 반경 방향 온도 제어를 제공하기 위해 전력을 공급받을 수 있다. 챔버는 5 Torr의 압력으로 유지되며, 기판은 기판 표면 상의 모든 원하는 산화물들을 승화가능한 고체로 변환시키는 데 적합한 시간, 예컨대 300초 동안 프로세싱된다. 이어서, 기판은 제2 가스 분배기(230) 근처로 이동되며, 제2 가스 분배기(230)는 기판 표면에 복사성 또는 전도성 가열을 제공하기 위해 섭씨 약 200도까지 가열된다. 기판 표면 상에 형성된 고체들을 승화시켜서 산소가 없는 표면을 남기기 위해, 기판은, 1 내지 5분 동안, 제2 가스 분배기(230)로부터의 열 복사 근처에서 유지된다. 이어서, 산화물 제거 프로세스로부터의 임의의 잔여 종, 이를테면 불소 함유 종을 제거하기 위해, 기판은 선택적으로, 불활성 분위기 하에서 열-처리될 수 있다. 열 처리는, 열 처리 챔버에 기판을 배치하고, 챔버 내의 열 처리 장치를 에너자이징하여, 약 1분 동안 섭씨 약 300도의 온도로 기판을 가열하는 것을 포함할 수 있다.
[0088] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 적어도 하나의 막 형성 챔버에 커플링된 이송 챔버;
    상기 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버 ― 상기 플라즈마 산화물 제거 챔버는 원격 플라즈마 소스, 및 가열기 및 냉각 채널을 포함하는 기판 지지부를 포함함 ―; 및
    상기 이송 챔버에 커플링된 로드 락 챔버
    를 포함하는,
    프로세싱 시스템.
  2. 제1 항에 있어서,
    상기 플라즈마 산화물 제거 챔버는 RF 원격 플라즈마 챔버인,
    프로세싱 시스템.
  3. 제1 항에 있어서,
    상기 막 형성 챔버는 에피택시 챔버인,
    프로세싱 시스템.
  4. 제1 항에 있어서,
    상기 플라즈마 산화물 제거 챔버는 불소 프로세싱 챔버이며, 상기 막 형성 챔버는 에피택시 챔버인,
    프로세싱 시스템.
  5. 제4 항에 있어서,
    상기 플라즈마 산화물 제거 챔버의 가열기는 저항성 가열기인,
    프로세싱 시스템.
  6. 제5 항에 있어서,
    어닐링 챔버를 더 포함하는,
    프로세싱 시스템.
  7. 제1 항에 있어서,
    상기 플라즈마 산화물 제거 챔버는 덮개 조립체를 포함하며,
    상기 덮개 조립체는 챔버를 갖고, 중앙 도관, 원통형 도관, 및 2개의 유입구들을 가지며, 상기 중앙 도관, 상기 원통형 도관, 및 상기 2개의 유입구들은 모두 상기 챔버에 유동적으로 커플링되는,
    프로세싱 시스템.
  8. 제7 항에 있어서,
    상기 플라즈마 산화물 제거 챔버의 가열기는 저항성 가열기인,
    프로세싱 시스템.
  9. 적어도 하나의 기상 에피택시 챔버에 커플링된 제1 이송 챔버;
    상기 제1 이송 챔버에 커플링된 플라즈마 산화물 제거 챔버; 및
    상기 제1 이송 챔버에 커플링된 로드 락 챔버
    를 포함하며,
    상기 플라즈마 산화물 제거 챔버는,
    가스 분배기 및 혼합 챔버를 갖는 덮개 조립체;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제1 가스 유입구;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제2 가스 유입구;
    상기 덮개 조립체의 일부를 통해 형성되고, 상기 혼합 챔버와 유체 연통하는 제3 가스 유입구; 및
    기판 지지부
    를 포함하고,
    상기 기판 지지부는,
    기판 지지 표면;
    상기 기판 지지부에 매립된 하나 이상의 저항성 가열기들 및 냉각 채널; 및
    상기 기판 지지 표면의 리세스(recess)에 배치되고, 상기 기판 지지부를 통해 리프트 액추에이터에 커플링된 리프트 부재
    를 갖는,
    프로세싱 장치.
  10. 제9 항에 있어서,
    하나 이상의 패스-스루 스테이션(pass-through station)들에 의해 상기 제1 이송 챔버에 커플링된 제2 이송 챔버를 더 포함하는,
    프로세싱 장치.
  11. 제10 항에 있어서,
    상기 제1 이송 챔버 또는 상기 제2 이송 챔버에 커플링된 열 처리 챔버를 더 포함하는,
    프로세싱 장치.
  12. 제9 항에 있어서,
    상기 플라즈마 산화물 제거 챔버는 RF 원격 플라즈마 챔버인,
    프로세싱 장치.
  13. 제9 항에 있어서,
    막 형성 챔버를 더 포함하는,
    프로세싱 장치.
  14. 제13 항에 있어서,
    상기 막 형성 챔버는 에피택시 챔버인,
    프로세싱 장치.
  15. 제13 항에 있어서,
    상기 플라즈마 산화물 제거 챔버는 불소 프로세싱 챔버이며, 상기 막 형성 챔버는 에피택시 챔버인,
    프로세싱 장치.
KR1020207008636A 2017-08-30 2018-08-13 통합 에피택시 및 사전세정 시스템 KR102300508B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762552107P 2017-08-30 2017-08-30
US62/552,107 2017-08-30
PCT/US2018/046501 WO2019046001A1 (en) 2017-08-30 2018-08-13 INTEGRATED EPITAXY AND PRE-CLEANING SYSTEM

Publications (2)

Publication Number Publication Date
KR20200035185A true KR20200035185A (ko) 2020-04-01
KR102300508B1 KR102300508B1 (ko) 2021-09-08

Family

ID=65437915

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207008636A KR102300508B1 (ko) 2017-08-30 2018-08-13 통합 에피택시 및 사전세정 시스템

Country Status (6)

Country Link
US (2) US11164737B2 (ko)
JP (3) JP7029522B2 (ko)
KR (1) KR102300508B1 (ko)
CN (2) CN111052334B (ko)
TW (2) TW202310006A (ko)
WO (1) WO2019046001A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202112203VA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Dynamic multi zone flow control for a processing system
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
US11666952B2 (en) * 2020-03-06 2023-06-06 Applied Materials, Inc. Condition selectable backside gas
US11605544B2 (en) * 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN113192869A (zh) * 2021-04-29 2021-07-30 北京北方华创微电子装备有限公司 进气机构和半导体工艺设备
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110019445A (ko) * 2006-02-03 2011-02-25 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 증착 프로세스 및 장치
KR20150013637A (ko) * 2012-04-27 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 다-구역 가열을 갖는 기판 지지부를 위한 방법 및 장치
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4131105B2 (ja) * 2000-02-10 2008-08-13 信越半導体株式会社 シリコンボートの製造方法
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US20050217799A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
TWI329136B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP2013541178A (ja) 2010-08-04 2013-11-07 アプライド マテリアルズ インコーポレイテッド 基板の表面から汚染物質および自然酸化物を除去する方法
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
WO2015038309A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9890456B2 (en) * 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TWI817756B (zh) * 2015-09-22 2023-10-01 美商應用材料股份有限公司 清洗方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110019445A (ko) * 2006-02-03 2011-02-25 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 증착 프로세스 및 장치
KR20150013637A (ko) * 2012-04-27 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 다-구역 가열을 갖는 기판 지지부를 위한 방법 및 장치
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Also Published As

Publication number Publication date
TW202310006A (zh) 2023-03-01
CN117448783A (zh) 2024-01-26
KR102300508B1 (ko) 2021-09-08
WO2019046001A1 (en) 2019-03-07
JP7348975B2 (ja) 2023-09-21
TWI785094B (zh) 2022-12-01
JP2020532142A (ja) 2020-11-05
TW201913739A (zh) 2019-04-01
CN111052334B (zh) 2023-10-13
US20220059342A1 (en) 2022-02-24
JP2022084597A (ja) 2022-06-07
JP2023174653A (ja) 2023-12-08
US11164737B2 (en) 2021-11-02
US20190066998A1 (en) 2019-02-28
JP7029522B2 (ja) 2022-03-03
CN111052334A (zh) 2020-04-21

Similar Documents

Publication Publication Date Title
KR102360082B1 (ko) 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
KR102300508B1 (ko) 통합 에피택시 및 사전세정 시스템
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US11605544B2 (en) Methods and systems for cleaning high aspect ratio structures
US20220375751A1 (en) Integrated epitaxy and preclean system

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant