KR20110019445A - 에피택셜 증착 프로세스 및 장치 - Google Patents

에피택셜 증착 프로세스 및 장치 Download PDF

Info

Publication number
KR20110019445A
KR20110019445A KR1020117002537A KR20117002537A KR20110019445A KR 20110019445 A KR20110019445 A KR 20110019445A KR 1020117002537 A KR1020117002537 A KR 1020117002537A KR 20117002537 A KR20117002537 A KR 20117002537A KR 20110019445 A KR20110019445 A KR 20110019445A
Authority
KR
South Korea
Prior art keywords
substrate
epitaxial deposition
plasma
gas
epitaxial
Prior art date
Application number
KR1020117002537A
Other languages
English (en)
Other versions
KR101201964B1 (ko
Inventor
앤드류 램
이완 김
사티쉬 쿠푸라오
시-잉 판
신리앙 루
치엔-테 카오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110019445A publication Critical patent/KR20110019445A/ko
Application granted granted Critical
Publication of KR101201964B1 publication Critical patent/KR101201964B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

에피택셜 증착 프로세스가 후속되는 건식 식각 프로세스를 포함하는 에피택셜 증착 프로세스가 개시된다. 건식 식각 프로세스는 표면 산화물을 제거하도록 처리 챔버 내부로 세척될 기판을 배치시키는 단계를 포함한다. 가스 혼합물은 플라즈마 공동 내부로 도입되며, 가스 혼합물은 플라즈마 공동 내에서 반응성 가스의 플라즈마를 형성하도록 전원이 인가된다. 반응성 가스는 처리 챔버 내부로 들어가고 기판과 반응하여 박막을 형성한다. 기판은 박막을 기화시키고 에피택시 표면을 노출시키도록 가열된다. 에피택시 표면에는 실질적으로 산화물이 없다. 그 후, 에피택시 표면상에 에피택셜 층을 형성하는데 에피택셜 증착이 사용된다.

Description

에피택셜 증착 프로세스 및 장치{EPITAXIAL DEPOSITION PROCESS AND APPARATUS}
본 발명의 실시예는 에피택셜 증착 방법, 시스템 및 장치에 관한 것이다. 특히, 에피택시 프로세스 전에 표면 산화물을 제거하는데 사용되는 세척 프로세스를 포함하는 에피택셜 증착 방법, 시스템 및 장치가 개시된다.
에피택셜 층은 결정성 기판(crystalline substrate) 상에 성장하는 결정성 막이다. 기저 기판은 성장하는 막의 템플릿(template)으로 작용하여서, 에피택셜 층의 결정학적 특징은 기저 결정성 기판에 의해 정해진다. 즉, 결정성 기판은 에피택셜 성장에 대한 결정학적 시드(crystallographic seed)를 제공한다. 기판은, 예를 들면 단결정 실리콘(monocrystalline silicon), 실리콘 게르마늄(silicon germanium), 또는 SOI 웨이퍼일 수 있다.
일반적으로 에피택셜 층의 성장은 화학기상증착(CVD)을 사용하여 이루어진다. 기판 웨이퍼는 CVD 반응기 내부로 로딩되며, 그 후 CVD 반응기는 He, Ar, N2, 또는 H2와 같은 비반응성 가스로 퍼지된다. 반응기의 온도는 상승하며, 캐리어 가스와 반응성 가스의 혼합물은 반응기 내부로 도입된다. 반응성 가스는 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 디클로로실란(SiH2Cl2), 트리클로로실란(SiHCl3), 및 실리콘 테트라클로라이드(SiCl4)를 포함할 수 있지만 이에 제한되지는 않는다. 또한, 아르신(AsH3), 포스핀(PH3), 및 디보란(B2H6)과 같은 도펀트 가스(dopant gases)가 도입될 수 있다. 통상적으로 캐리어 가스는 수소이다. 에피택셜 층의 희망 두께가 얻어지면, 반응기를 퍼지하는데 비반응성 가스가 다시 사용되며, 온도는 하강한다.
*그러나 에피택셜 프로세스를 성공적으로 실행하기 위해서는 결정성 기판상에 존재하는 산화물의 양이 최소화되어야 하는 것이 중요하다. 기판의 표면 산소 함유량이 너무 높은 경우, 산소 원자가 시드 기판상의 증착 재료의 결정학적 배치를 방해하기 때문에, 에피택셜 성장 프로세스는 유해한 영향을 받을 것이다. 예를 들면, 실리콘 에피택셜 증착시, 결정성 기판상의 과도한 산소는, 원자단위의 산소 원자 클러스터에 의해, 실리콘 원자를 그 에피택셜 위치로부터 변위되게 할 수 있다. 이러한 국소적인 원자 변위는 층이 더 두껍게 성장할 때 후속 원자 배열에 오차를 일으킬 수 있다. 이러한 현상은 이른바 적층 결함으로, 대안적으로 힐락 결함(hillock defects)으로 지칭될 수 있다. 기판 표면의 산소화(oxygenation)는, 예를 들면 기판이 다양한 제조 스테이션 사이에서 이송될 때 대기에 노출되는 경우 발생할 수 있다.
표면 산소에 의해 발생되는 결함을 방지하기 위해, 에피택셜 증착 프로세스 전에 잔류 표면 산소가 결정성 기판으로부터 제거되어야 한다. 예를 들면, 기판은 종래 기술에서 수소 사전-베이크(hydrogen pre-bake)로 지칭될 수 있는 것을 이용하여, 1000℃를 초과한 온도에서 수소 분위기에서 어닐링될 수 있다. 그러나 이러한 고온 프로세스는 열 예산(thermal budgeting) 측면에서 비용이 많이 든다. 따라서 이를 대체하여, 에피택시 반응기 내부로 기판을 로딩하기 전에, 통상적으로 엑스-시튜 습식 희석 불화수소산 식각(ex-site wet diluted hydrofluoric(HF) acid etching)이 실행된다. 이러한 프로세스는 때때로 HF 지속(HF-last)으로 종래 기술에서 지칭된다. 기판은, 실리콘 기판에 대하여 기판 표면에 자연 산화물 성장을 늦추는 Si-H 결합이 존재하게 하는, 수소로 세정 및 페시베이션된 후 건조될 수 있으며, 자연 산화물 성장은 웨이퍼가 습식 HF 식각 스테이션으로부터 에피택시 반응기로 이송되는 동안 대기에 노출될 때 일어날 수 있다. (대기 노출이 알루미늄에 유지되는 것을 가정할 때) 여전히 발생하는 경미한 산화로 인해, 비교적 가벼운 수소 사전-베이크가, 예를 들면 900℃보다 낮은 온도에서 30 내지 120분 동안 인-시튜로 실행될 수 있다. 사전-베이크 단계 후에, 에피택셜 증착 프로세스가 실행될 수 있다.
기판 표면으로부터 자연 산화물을 제거할 때 HF-지속 사전-세척 단계가 효과적이지만, 이 단계는 제조 프로세스에 어느 정도의 복잡성을 이끈다. 먼저, 이 단계는 습식 프로세스이기 때문에, HF-지속은 습식 세척 스테이션과 에피택시 증착 반응기 사이에 고유의 대기 시간(queue time)을 부과한다. 다음으로, HF-지속 사전-세척 단계에 사용되는 희석 HF 용액의 등방성 식각이 산화물을 약화시킬 수 있다. 마지막으로, 선택적 결과가 실리콘 기판에 대한 HF 용액에서 산화물-질소화물-실리콘 식각률에 따라 나타난다. 제조 프로세스시 이들 임의의 결과물의 충격 감소는 제조 수율을 개선시킬 수 있다. 따라서, 습식 HF 사전-세척 단계를 건식 사전-세척 단계로 대체하는 것이 바람직할 것이며, 건식 사전-세척 단계는 "대기 노출이 0인(zero-ambient exposure)" 처리 시스템에 용이하게 통합될 수 있다.
본 발명의 일 양태에서, 다중 챔버 처리 장치는, 에피택셜 증착 반응기와 진공 기밀 연결되어 플라즈마를 형성하도록 구성된 챔버를 구비하는 건식 식각 프로세서를 포함한다. 플라즈마를 활용하는 건식 식각 프로세스는 에피택시 표면을 노출시키기 위해 기판의 표면으로부터 산화물을 제거하도록 건식 식각 프로세서 내에 기판상에 실행된다. 그 후, 기판은 건식 식각 프로세서로부터 에피택셜 증착 반응기로 대기에 노출되지 않고 이송된다. 그 후, 에피택셜 증착 반응기는 에피택시 표면상에 에피택셜 층을 형성하는데 사용된다.
다른 양태에서, 건식 식각 프로세스는 처리 챔버 내부로 세척될 기판을 배치하는 단계를 포함한다. 가스 혼합물은 처리 챔버로부터 분리된 플라즈마 공동 내부로 도입된다. 플라즈마는 가스에 전원을 인가하여 반응성 가스를 형성하도록 플라즈마 공동 내에 형성된다. 그 후, 반응성 가스는 처리 챔버 내부로 들어가고 기판상의 표면 산화물과 반응하여 막을 형성한다. 기판은 막을 증발시키고 에피택시 표면을 노출시키도록 가열된다. 에피택시 표면에는 실질적으로 산화물이 없다. 그 후, 에피택시 층은 에피택시 표면상에 형성된다.
도 1은 본 발명의 일 양태에 따른 다중 챔버 처리 시스템을 나타낸 도면이고,
도 2a 내지 도 2c는 본 발명의 실시예에 따라 처리된 기판을 나타낸 도면이며,
도 3은 건식 식각 처리 챔버의 일 실시예를 나타내는 부분 단면도이며,
도 4는 도 3에 도시된 리드 조립체의 확대된 횡단면도이며,
도 5는 도 3에 도시된 지지 조립체의 부분 횡단면도이다.
본 발명의 몇몇 예시적인 실시예를 설명하기에 앞서, 본 발명은 하기의 상세한 설명에 설명되는 구성 또는 프로세스 단계에 제한되지 않는 것으로 이해되어야 한다. 본 발명은 다른 실시예가 가능하며 다양한 방식으로 실시 또는 실행될 수 있다.
본 발명의 양태는 기판상에 막의 에피택셜 증착을 위한 시스템, 장치 및 방법에 관한 것이다. 당업자에게 이해되는 바와 같이, 에피택셜 증착에 관하여 널리 공지된 반도체 처리 장비는 본 발명을 불필요하게 모호하게 하지 않기 위해 하기에 자세히 설명되지 않는다. 당업자는 프로세스 파라미터 값이 특정한 환경, 기판 유형 등에 따라 상당히 변화할 것임을 용이하게 알 수 있을 것이다. 따라서, 가능한 값 및 조건의 포괄적인 목록은 실용적이지도 않고 필요하지도 않으므로, 이러한 값은 본 발명의 원리가 알려지면 결정될 수 있다.
본 발명의 실시예는 플라즈마를 사용하여 에피택셜 증착을 하기 전에, 예를 들면 실리콘과 같은 기판을 세척하는 것에 관한 것이다. 본 발명의 양태는 클러스터 툴 내에서 실행될 수 있다. 일반적으로, 클러스터 툴은 다중 챔버를 포함하는 모듈 시스템으로, 다중 챔버는 기판 중심 발견 및 배향, 탈기(degassing), 어닐링, 증착 및/또는 식각을 포함하는 다양한 기능들을 실행한다. 본 발명의 실시예에 따르면, 클러스터 툴은 본 발명의 산화물 성장 프로세스를 실행하도록 형성되는 산화 챔버를 포함한다. 클러스터 툴의 다중 챔버는 중앙의 이송 챔버에 장착되며, 이송 챔버는 챔버들 사이에서 기판을 왕복 이동시키도록 구성된 로봇을 수용한다. 통상적으로 이송 챔버는 진공 상태로 유지되며, 하나의 챔버로부터 다른 챔버 및/또는 클러스터 툴의 전방 단부에 위치된 로드록 챔버로 기판을 왕복 이동시키기 위한 중간 스테이지를 제공한다. 본 발명에 적용될 수 있는 두 가지 주지의 클러스터 툴은 모두 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 시판중인 Centura®와 Endura®이다. 이러한 스테이지식 진공 기판 처리 시스템의 세부 사항은 본 명세서에 참조로 통합되며 1993년 2월 16일자로 허여된 Tepman 등의 "스테이지식 진공 웨이퍼 처리 시스템 및 방법"을 제목으로 하는 미합중국 특허 제5,186,718호에 공개된다. 그러나 챔버의 정확한 배치 및 결합은 본 발명의 세척 프로세스를 포함하는 제조 프로세스의 특정한 단계를 실행하기 위해 변경될 수 있다.
도 1은 본 발명의 양태에 따른 클러스터 툴 또는 다중 챔버 처리 시스템(10)의 일례를 도시한다. 처리 시스템(10)은 시스템(10)의 내부 및 외부로 기판을 이송하기 위해 하나 또는 그보다 많은 로드록 챔버(12,14)를 포함한다. 통상적으로, 시스템(10)이 진공하에 있기 때문에, 로드록 챔버(12,14)는 시스템(10) 내부로 도입되는 "펌프 다운(pump down)" 기판일 수 있다. 제 1 로봇(20)이 하나 또는 그보다 많은 제 1 기판 처리 챔버(32,34,36,38) 세트와 로드록 챔버(12,14) 사이에서 기판을 이송할 수 있다. 각각의 처리 챔버(32,34,36,38)는 다수의 기판 처리 작용을 실행하도록 구성될 수 있다. 특히, 처리 챔버(32)는 하기에 설명되는 건식 식각 프로세스를 실행하도록 설계된 건식 식각 처리기이고, 처리 챔버(34)는 에피택셜 증착 반응기이다. 처리 챔버(36,38)는, 예를 들면 주기적 층 증착(CLD; cyclical layer deposition), 원자층 증착(ALD), 화학기상증착(CVD), 물리기상증착(PVD), 식각, 사전 세척, 탈기, 배향 및 다른 기판 프로세스를 추가로 제공하도록 구성될 수 있다.
또한, 제 1 로봇(20)은 하나 또는 그보다 많은 이송 챔버(42,44)로/이송 챔버(42,44)로부터 기판을 이송할 수 있다. 이송 챔버(42,44)는 기판이 시스템(10) 내에서 이송될 수 있도록 하면서 초고진공(ultrahigh vacuum) 상태를 유지하는데 사용될 수 있다. 제 2 로봇(50)이 하나 또는 그보다 많은 제 2 처리 챔버(62,64,66,68) 세트와 이송 챔버(42,44) 사이에서 기판을 이송시킬 수 있다. 처리 챔버(32,34,36,38)와 유사하게, 처리 챔버(62,64,66,68)는 하기에 설명되는 건식 식각 프로세스, 그 외에도 주기적 층 증착(CLD), 전자층 증착(ALD), 화학기상증착(CVD), 물리기상증착(PVD), 에피택셜 증착, 식각, 사전 세척, 탈기, 및 배향을 포함하는 다양한 기판 처리 작업을 실행하도록 구성될 수 있다. 필요하지 않은 경우, 임의의 기판 처리 챔버(32,34,36,38,62,64,66,68)가 시스템(10)으로부터 제거될 수 있다.
이제 도 2a 내지 도 2c를 참조하면, 에피택셜 증착 프로세스의 일례는 기판(70)으로부터 표면 산화물(72)을 제거하기 위한 건식 사전 세척 단계를 포함하며, 그 후 에피택셜 증착 프로세스가 이어진다. 이를 위해, 에피택셜 증착 프로세스를 실행하기 전에, 처리될 기판(70)은 먼저 건식 식각 처리기(100)로 로딩되어, 표면 산화물(72)을 제거하는 가벼운 건식 식각 프로세스를 거친다. 이러한 건식 세척 프로세스는 도 2b에 도시된 바와 같이, 기판(70)의 표면상에 에피택시 표면(74)을 노출시키며, 에피택셜 층의 후속 성장을 유지시키는데 적합하다. 건식 식각 처리기(100) 및 관련 건식 식각 프로세스는, 본 명세서에 전체로서 참조되고 미합중국 출원공개 제20050230350호로 공개된, "라인 제조의 전방 단부용 인-시튜(in-situ) 건식 세척 챔버"를 제목으로 하며, 2005년 2월 22일자로 제출되고 본 출원인에게 양도된 미합중국 특허 출원 제11/063,645호에 상세히 설명된다. 건식 세척 프로세스가 완성된 후, 기판(70)은 로봇(20)에 의해 건식 식각 처리기(100)로부터 에피택셜 증착 반응기(34) 내부로 이송된다. 전체 시스템(10)이 로드록 식이므로, 기판(70)은 이송될 때 대기에 노출되지 않아서, 실질적으로 산화물이 없는 에피택시 표면(74) 상에서 자연 산화물 성장이 일어나지 않는다. 따라서, 에피택셜 증착 프로세스가 후속하여 실행될 때, 광범위한 수소 사전 베이크(extensive hydrogen pre-bake)가 요구되지 않거나, 대안적으로 매우 제한된 기간의 수소 사전 베이크만이 사용될 수 있다. 본 명세서에서는 실리콘이 특별히 참조되지만, 에피택시 표면(74)은 이에 제한되지는 않지만, 예를 들면 다른 모든 실리콘 게르마늄, 도핑된 실리콘, 및 4족, 3-5족 및 2-6족 반도체 및 합금과 같이, 에피택셜 증착을 지지하는데 적합한 임의의 표면일 수 있음이 이해될 것이다.
에피택셜 증착 프로세스는 에피택셜 증착 반응기(34), 예를 들면 캘리포니아 산타 클라라에 소재한 Applied Materials 사의 EPI CENTURA 반응기 내에서 실행되는 화학기상증착에 의해 실행되어 에피택시 표면(74) 상에 에피택시 층(76)을 형성할 수 있다. 기판(70)의 에피택시 표면(74)은, 실리콘(예를 들면, SiCl4, SiHCl3, SiH2Cl21, SiH3Cl, Si2H6, 또는 SiH4) 및 (N2 및/또는 H2와 같은) 캐리어 가스를 포함하는, 예를 들면 증착 가스 혼합물의 형태인 실리콘에 노출될 수 있다. 기판(70)의 의도된 사용이 에피택시 층(76)이 도펀트를 포함할 것을 요구하는 경우, 실리콘 함유 가스는 적합한 도펀트 함유 가스, 예를 들면 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6)을 포함할 수도 있다.
SiH2Cl2가 사용되는 경우, 증착 반응기(34) 내의 압력은 증착 중에 약 500 내지 약 760 Torr일 수 있다. 한편, SiH4 또는 다른 4족 수소화물이 사용되는 경우, 증착 반응기(34)의 압력은 100 Torr 이하이어야 한다. SiHCl3를 사용하는 에피택셜 증착은 대기압에서 실행될 수 있다. 대기압에서의 SiHCl3를 사용하는 에피택셜 증착은, 에피택셜 증착 반응기(34)와 건식 식각 처리기(100)가 공통의 로드록 시스템에 연결되지 않지만, 그 대신 대기 조건하에서 기판(70)이 로딩되고 꺼내어지는 개별적인 유닛인 경우 바람직할 수 있다. 이에 따라 에피택시 표면(74)이 대기에 노출되는 경우, 에피택셜 증착 프로세스 전에 에피택셜 증착 반응기(34)에서 가벼운 수소 사전 베이크를 먼저 실행하여 에피택시 표면(74)으로부터 임의의 결과적인 자연 산화물을 제거할 필요가 있을 수 있음이 이해될 것이다. 용어 "대기"는 통상적으로 제조 공간 내의 공기를 의미한다. 그러나 대기는, 후속 에피택셜 프로세스에서 프로세스 품질 제어 관점으로부터 허용할 수 없는 결함 또는 결점을 일으킬 만한 에피택시 표면(74)의 산화를 일으키기에 충분한 산소를 갖는 환경을 포함할 수도 있다.
에피택셜 증착 프로세스 중에, 에피택시 표면(74)의 온도는 실리콘 함유 가스가 에피택시 표면(74) 상에 다결정 실리콘을 증착하는 것을 방지하기에 충분한 온도로 유지되는 것이 바람직하다. 에피택셜 증착 중에 에피택시 표면(74)의 온도는, 예를 들면 약 1150℃ 내지 약 450℃일 수 있다.
희망 두께를 갖는 에피택시 층(76)이 에피택시 표면(74) 상에 형성되고 나면, 에피택셜 증착 반응기(34)는 불활성 기체, H2, 또는 이들의 결합에 의해 퍼지될 수 있다. 그 후, 기판(70)은, 예를 들면 700℃ 미만의 온도로 냉각된 후, 후속 처리를 위해 에피택셜 증착 반응기(34)로부터 제거된다.
도 3은 예시적인 처리 챔버(100)를 도시하는 부분 단면도이다. 처리 챔버(100)는 챔버 본체(101), 리드 조립체(140) 및 지지 조립체(120)를 포함할 수 있다. 리드 조립체(140)는 챔버 본체(101)의 상단부에 배치되며, 지지 조립체(120)는 챔버 본체(101) 내에 적어도 부분적으로 배치된다. 챔버 본체(101)는 그 측벽에 형성되는 슬릿 밸브 개구(111)를 포함하여 처리 챔버(100)의 내부에 대한 접근을 제공할 수 있다. 슬릿 밸브 개구(111)는 제 1 로봇(20)에 의한 챔버 본체(101)의 내부로의 접근을 허용하도록 선택적으로 개방 및 폐쇄된다.
챔버 본체(101)는 챔버 본체를 통과하여 열전달 유체를 유동시키기 위해 그 내부에 형성되는 채널(102)을 포함할 수 있다. 열전달 유체는 가열 유체 또는 냉각제일 수 있으며, 처리 및 기판 이송중에 챔버 본체(101)의 온도를 제어하는데 사용된다. 예시적인 열전달 유체는 물, 에틸렌 글리콜, 또는 그 혼합물을 포함한다. 예시적인 열전달 유체는 질소 가스를 또한 포함할 수 있다.
챔버 본체(101)는 지지 조립체(120)를 둘러싸는 라이너(108)를 더 포함할 수 있다. 바람직하게, 라이너(108)는 정비 및 세척을 위해 제거 가능하다. 라이너(108)는 알루미늄과 같은 금속 또는 세라믹 재료로 제조될 수 있다. 그러나 라이너(108)는 임의의 프로세스에 적합한 재료일 수 있다. 라이너(108)는 그 위에 증착된 임의의 재료의 점착을 증가시키도록 비드 블래스트(bead blasted)되어 처리 챔버(100)의 오염을 초래하는 재료의 플레이킹(flaking)을 방지할 수 있다. 라이너(108)는 하나 또는 그보다 많은 통공(109) 및 그 내부에 형성되며 진공 시스템과 유체 소통하는 펌핑 채널(106)을 포함할 수 있다. 통공(109)은 펌핑 채널(106) 내부로 가스를 위한 유동 경로를 제공하며, 처리 챔버(100) 내에 가스의 출구를 제공한다.
진공 시스템은 진공 펌프(104) 및 처리 챔버(100)를 통한 가스의 유동을 조절하기 위한 스로틀 밸브(105)를 포함할 수 있다. 진공 펌프(104)는 챔버 본체(101) 상에 배치되는 진공 포트(107)에 연결되어서 라이너(108) 내부에 형성된 펌핑 채널(106)과 유체 소통한다.
통공(109)은 펌핑 채널(106)이 챔버 본체(101) 내에서 처리 구역(110)과 유체 소통할 수 있도록 한다. 처리 구역(110)은 지지 조립체(120)의 상부 표면과 리드 조립체(140)의 하부 표면에 의해 형성되고 라이너(108)에 의해 둘러싸인다. 통공(109)은 동일한 크기이며 라이너(108) 둘레에서 간격이 균일하다. 그러나 임의의 개수, 위치, 크기, 또는 형상의 통공이 사용될 수 있으며, 이러한 각각의 설계 파라미터는 하기에 보다 상세히 논의되는 바와 같이, 기판 수용 표면에 걸쳐서 희망하는 가스 유동 패턴에 따라 변화될 수 있다. 또한, 통공(109)의 크기, 개수 및 위치는 처리 챔버(100)를 빠져나가는 가스의 균일한 유동을 얻을 수 있도록 형성된다. 또한, 통공의 크기 및 위치는, 챔버(100)로부터 가스의 신속한 배출을 용이하게 하기 위해, 고속 또는 고용량 펌핑을 제공하도록 형성될 수 있다. 예를 들어, 진공 포트(107)에 근접한 통공(109)의 개수 및 크기는 진공 포트(107)로부터 멀리 위치된 통공(109)의 크기보다 더 작을 수 있다.
보다 상세히 리드 조립체(140)를 고려하면, 도 4는 챔버 본체(101)의 상단부에 배치될 수 있는 리드 조립체(140)의 확대 단면도를 도시한다. 도 3 및 도 4를 참조하면, 리드 조립체(140)는 적층된 다수의 구성요소를 포함하여 그 사이에 플라즈마 영역 또는 공동을 형성한다. 리드 조립체(140)는 제 2 전극(152)("하부 전극") 위에 수직으로 배치되는 제 1 전극(141)("상부 전극")을 포함하여 이들 사이에 플라즈마 체적 또는 공동(149)을 제한할 수 있다. 제 1 전극(141)은 RF 전원과 같은 전원(144)에 연결되고, 제 2 전극(152)은 지면에 연결되어 2개의 전극(141,152) 사이에 정전용량(capacitance)을 형성한다.
리드 조립체(140)는 제 1 전극(141)의 상부 섹션(143) 내에 적어도 부분적으로 형성되는 하나 또는 그보다 많은 가스 유입구(142)(1개만 도시되었음)를 포함할 수 있다. 하나 또는 그보다 많은 프로세스 가스가 하나 또는 그보다 많은 가스 유입구(142)를 통해 리드 조립체(140)로 들어간다. 하나 또는 그보다 많은 가스 유입구(142)는 그 제 1 단부에서 플라즈마 공동(149)과 유체 소통되며, 그 제 2 단부에서 하나 또는 그보다 많은 상류 가스 공급원 및/또는 가스 혼합기와 같은 다른 가스 운반 구성요소에 연결된다. 하나 또는 그보다 많은 가스 유입구(142)의 제 1 단부는 확장된 섹션(146)의 내경(150)의 최상부 지점에서 플라즈마 공동(149) 내부로 개방될 수 있다. 마찬가지로, 하나 또는 그보다 많은 가스 유입구(142)의 제 1 단부는 확장된 섹션(146)의 내경(150)을 따르는 임의의 높이 간격에서 플라즈마 공동(149) 내부로 개방될 수 있다. 도시되지는 않았지만, 2개의 가스 유입구(142)가 확장된 섹션(146)의 대향 측면에 배치되어, 확장된 섹션(146) 내부로의 소용돌이형 유동 패턴 또는 "와류"형 유동을 생성하며, 이는 플라즈마 공동(149) 내에서 가스의 혼합을 돕는다.
제 1 전극(141)은 플라즈마 공동(149)을 수용하는 확장된 섹션(146)을 가질 수 있다. 확장된 섹션(146)은 전술한 바와 같이 가스 유입구(142)와 유체 소통할 수 있다. 확장된 섹션(146)은 그 상부(147)로부터 그 하부(148)까지 점차적으로 증가하는 내부 표면 또는 직경(150)을 갖는 환형 부재일 수 있다. 따라서, 제 1 전극(141)과 제 2 전극(152) 사이의 거리는 가변적이다. 가변적인 거리는 플라즈마 공동(149) 내에 발생되는 플라즈마의 형성 및 안정성을 제어하는 것을 돕는다.
도 3 및 도 4에 도시된 바와 같이, 확장된 섹션(146)은 원뿔체 또는 "깔때기"와 비슷하다. 확장된 섹션(146)의 내부 표면(150)은 확장된 섹션(146)의 상부(147)로부터 하부(148)까지 점점 경사진다. 내경(150)의 경사 또는 각도는 프로세스 요구조건 및/또는 프로세스 제한에 따라 변화할 수 있다. 또한, 확장된 섹션(146)의 길이 또는 높이도 특정한 프로세스 요구조건 및/또는 제한에 따라 변화할 수 있다. 확장된 섹션(146)의 내경(150)의 경사 또는 높이, 또는 이들 모두는 처리에 필요한 플라즈마의 체적에 따라 변화할 수 있다.
이론을 따르고자 하지 않을 때, 2개의 전극(141,152) 사이의 거리 변화는 플라즈마 공동(149)에 형성된 플라즈마가 필요한 전력 레벨에 도달하여, 전체 플라즈마 공동(149)에 걸쳐서가 아닌 경우, 플라즈마 공동(149)의 일부분 내에 그 자체를 유지시킬 수 있게 한다. 따라서, 플라즈마 공동(149) 내의 플라즈마는 압력에 덜 의존적이어서, 플라즈마가 더 넓은 동작 범위 내에서 발생되어 유지되도록 한다. 따라서, 보다 반복 가능하고 신뢰성 있는 플라즈마가 리드 조립체(140) 내에 형성될 수 있다.
제 1 전극(141)은, 예를 들면 알루미늄, 양극산화 알루미늄, 니켈 도금 알루미늄, 니켈 도금 알루미늄 6061-T6, 스테인리스 스틸 및 이들의 조합, 그리고 이들의 합금과 같이 임의의 프로세스에 적합한 재료로 구성될 수 있다. 하나 또는 그보다 많은 실시예에서, 전체 제 1 전극(141) 또는 그 일부분은 니켈 코팅되어 원치 않는 입자 형성을 감소시킨다. 바람직하게, 확장된 섹션(146)의 적어도 내부 표면(150)은 니켈 도금된다.
제 2 전극(152)은 하나 또는 그보다 많은 적층된 플레이트를 포함할 수 있다. 둘 또는 그보다 많은 플레이트가 바람직한 경우, 플레이트는 서로 전기 통신되어야 한다. 각각의 플레이트는 복수의 통공 또는 가스 통로를 포함하여 플라즈마 공동(149)으로부터의 하나 또는 그보다 많은 가스가 관류할 수 있도록 한다.
리드 조립체(140)는 제 1 전극(141)을 제 2 전극(152)으로부터 전기적으로 격리시키도록 격리 링(151)을 더 포함할 수 있다. 격리 링(151)은 알루미늄 산화물 또는 임의의 다른 절연성의 프로세스에 적합한 재료로 제조될 수 있다. 바람직하게, 격리 링(151)은 적어도 확장된 섹션(146)을 둘러싸거나 실질적으로 둘러싼다.
제 2 전극(152)은 플라즈마 공동으로부터 처리 챔버 내의 기판을 분리시키는, 상부 플레이트(153), 분배 플레이트(158) 및 차단 플레이트(162)를 포함할 수 있다. 상부 플레이트(153), 분배 플레이트(158) 및 차단 플레이트(162)는 적층되어 있으며, 도 3에 도시된 바와 같이 챔버 본체(101)에 연결되는 리드 림(164) 상에 배치된다. 종래 기술에 공지된 바와 같이, 힌지 조립체(미도시)가 사용되어 리드 림(164)을 챔버 본체(101)에 연결시킬 수 있다. 리드 림(164)은 열전달 매체를 수용하기 위한 매립형 채널 또는 통로(165)를 포함할 수 있다. 열전달 매체는 프로세스 필요조건에 따라 가열, 냉각 또는 이들 모두에 사용될 수 있다.
상부 플레이트(153)는 플라즈마 공동(149) 아래에 형성되는 복수의 가스 통로 또는 통공(156)을 포함하여 플라즈마 공동(149)으로부터의 가스가 이들 통로 또는 통공을 통하여 유동할 수 있도록 할 수 있다. 상부 플레이트(153)는 제 1 전극(141)의 적어도 일부분을 수용하도록 구성된 오목한 부분(154)을 포함할 수 있다. 하나 또는 그보다 많은 실시예에서, 통공(156)은 오목한 부분(154) 아래의 상부 플레이트(153)의 횡단면을 통과한다. 상부 플레이트(153)의 오목한 부분(154)은 도 4에 도시된 바와 같이 계단형으로 되어서 그 사이에 우수한 밀봉 끼워맞춤을 제공할 수 있다. 또한, 상부 플레이트(153)의 외경은 도 4에 도시된 바와 같이 분배 플레이트(158)의 외경 상에 장착되거나 놓이도록 설계될 수 있다. 탄성 O-링(155)과 같은 O-링 유형의 시일(seal)이 상부 플레이트(153)의 O-링(155) 내에 적어도 부분적으로 배치되어 제 1 전극(141)과의 유체 밀봉 접촉을 보장할 수 있다. 마찬가지로, O-링 유형 시일(157)은 분배 플레이트(158) 및 상부 플레이트(153)의 외부 둘레들 사이에 유체 밀봉 접촉을 제공하는데 사용될 수 있다.
분배 플레이트(158)는 실질적으로 디스크 형상이며, 복수의 통공(161) 또는 통로를 포함하여 이들을 통해 가스의 유동이 분배된다. 통공(161)은 처리될 기판이 놓이는 처리 구역(110)에 통제되고 고른 유동 분배를 제공하기 위한 크기로 분배 플레이트(158) 둘레에 배치될 수 있다. 또한, 통공(161)은 기판(70)의 표면에 걸쳐서 가스의 고른 분배를 제공하도록 가스의 유동을 고르게 분배할 뿐만 아니라, 유동하는 가스의 속도 프로파일을 느리게하고 다시 지향시킴으로써, 가스(들)가 기판(70) 표면상에 직접 부딪치는 것을 방지한다.
분배 플레이트(158)는 그 외부 둘레에 형성되는 환형 장착 플랜지(159)를 더 포함할 수 있다. 장착 플랜지(159)는 리드 림(164)의 상부 표면상에 놓이는 크기일 수 있다. 리드 림(164)과의 유체 밀봉 접촉을 보장하기 위해 환형 장착 플랜지(159) 내에 탄성 O-링과 같은 O-링 유형 시일이 적어도 부분적으로 배치될 수 있다.
분배 플레이트(158)는 히터 또는 가열 유체를 수용하기 위한 하나 또는 그보다 많은 채널 또는 통로(160)를 포함하여, 리드 조립체(140)의 온도 제어를 제공할 수 있다. 분배 플레이트(158)를 가열하기 위해 통로(160) 내에 저항 가열 소자가 삽입될 수 있다. 분배 플레이트(158)의 온도를 조절하기 위해 분배 플레이트에 열전쌍이 연결될 수 있다. 열전쌍은 종래 기술에 공지되어 있는 바와 같이, 피드백 루프(feedback loop)에서 사용되어 가열 소자에 인가되는 전류를 제어할 수 있다.
대안적으로, 열전달 매체는 통로(160)를 빠져나갈 수 있다. 필요한 경우, 하나 또는 그보다 많은 통로(160)가 냉각 매체를 포함하여 챔버 본체(101) 내의 프로세스 요구조건에 따라 분배 플레이트(158)의 온도를 보다 우수하게 제어할 수 있다. 전술한 바와 같이, 예를 들면 질소, 물, 에틸렌 글리콜, 또는 이들의 조합과 같은 임의의 열전달 매체가 사용될 수 있다.
리드 조립체(140)는 하나 또는 그보다 많은 열 램프(미도시)를 사용하여 가열될 수 있다. 통상적으로, 열 램프는 분배 플레이트(158)의 상부 표면 둘레에 배치되어, 분배 플레이트(158)를 포함하는 리드 조립체(140)의 구성요소를 복사에 의해 가열한다.
차단 플레이트(162)는 선택적이며, 분배 플레이트(158)와 상부 플레이트(153) 사이에 배치될 수 있다. 바람직하게, 차단 플레이트(162)는 상부 플레이트(153)의 하부 표면에 제거 가능하게 장착된다. 차단 플레이트(162)는 상부 플레이트(153)와 우수한 열 및 전기 접촉을 형성하여야 한다. 차단 플레이트(162)는 볼트 또는 유사한 체결구를 사용하여 상부 플레이트(153)에 연결될 수 있다. 또한, 차단 플레이트(162)는 상부 플레이트(153)의 외경 상에 장착되거나 나사결합될 수 있다.
차단 플레이트(162)는 복수의 통공(163)을 포함하여, 상부 플레이트(153)로부터 분배 플레이트(158)까지 복수의 가스 통로를 제공한다. 통공(163)은 차단 플레이트(162) 둘레에 위치되는 크기여서 분배 플레이트(158)에 통제되고 고른 유동 분배를 제공할 수 있다.
도 5는 예시적인 지지 조립체(120)의 부분 횡단면도를 도시한다. 지지 조립체(120)는 챔버 본체(101) 내에 적어도 부분적으로 배치될 수 있다. 지지 조립체(120)는 지지 부재(122)를 포함하여, 챔버 본체(101) 내에서 처리하기 위한 기판(70)(도 5에는 미도시)을 지지할 수 있다. 지지 부재(122)는 샤프트(126)를 통해 리프트 기구(131)에 연결될 수 있으며, 샤프트(126)는 챔버 본체(101)의 바닥 표면에 형성되는 중심에 위치된 개구(103)를 통해 연장된다. 리프트 기구(131)는 벨로우즈(132)에 의해 챔버 본체(101)에 유연하게 밀봉될 수 있으며, 벨로우즈는 샤프트(126) 둘레로부터의 진공 누출을 방지한다. 리프트 기구(131)는, 지지 부재(122)가 챔버 본체(101) 내에서, 하부 이송 위치와 프로세스 위치 사이에서 수직으로 이동될 수 있도록 한다. 이송 위치는 챔버 본체(101)의 측벽에 형성된 슬릿 밸브(111)의 개구보다 약간 아래이다.
하나 또는 그보다 많은 실시예에서, 기판(70)(도 5에는 미도시)은 진공 척을 사용하여 지지 조립체(120)에 고정될 수 있다. 상부 플레이트(123)는 지지 부재(122)에 형성된 하나 또는 그보다 많은 홈(127)과 유체 소통하는 복수의 구멍(124)을 포함할 수 있다. 홈(127)은 지지 부재(122) 및 샤프트(126) 내에 배치된 진공 도관(125)을 통해 진공 펌프(미도시)와 유체소통된다. 특정한 조건하에서, 진공 도관(125)은, 기판(70)이 지지 부재(122) 상에 배치되지 않을 때, 지지 부재(122)의 표면으로 퍼지 가스를 공급하는데 사용될 수 있다. 또한, 진공 도관(125)은 반응성 가스 또는 부산물이 기판(70)의 배면과 접촉하는 것을 방지하도록 처리중에 퍼지 가스를 통과시킬 수 있다.
지지 부재(122)는 리프트 핀(130)이 관통하여 수용되도록 형성된 하나 또는 그보다 많은 보어(129)를 포함할 수 있다. 통상적으로 각각의 리프트 핀(130)은 세라믹 또는 세라믹 함유 재료로 구성되며, 기판 처리 및 이송에 사용된다. 각각의 리프트 핀(130)은 보어(129) 내에 미끄럼 가능하게 장착된다. 리프트 핀(130)은 챔버 본체(101) 내에 배치된 환형 리프트 링(128)을 결합시킴으로써 각각의 보어(129) 내에서 이동 가능하다. 리프트 링(128)이 상부 위치에 있을 때, 리프트 핀(130)의 상부 표면이 지지 부재(122)의 기판 지지 표면 위에 놓일 수 있도록, 리프트 링(128)이 이동 가능하다. 반대로, 리프트 핀(130)의 상부 표면은 리프트 링(128)이 하부 위치에 있을 때, 지지 부재(122)의 기판 지지 표면 아래에 위치된다. 따라서, 각각의 리프트 핀(130)의 일부는 리프트 링(128)이 하부 위치로부터 상부 위치로 어느 쪽으로든 이동할 때, 지지 부재(122) 내의 각각의 보어(129)를 통과한다.
작동될 때, 리프트 핀(130)은 기판(70)의 하부 표면을 밀어서 기판(70)을 지지 부재(122)로부터 들어올린다. 반대로, 리프트 핀(130)은 기판(70)을 낮추도록 비작동되어 기판(70)을 지지 부재(122) 상에 놓을 수 있다.
지지 조립체(120)는 지지 부재(122) 둘레에 배치되는 에지 링(121)을 포함할 수 있다. 에지 링(121)은 지지 부재(122)의 외부 둘레를 덮고 지지 부재(122)를 보호하도록 구성되는 환형 부재이다. 에지 링(121)은 에지 링(121)의 내경과 지지 부재(122)의 외경 사이에 환형 퍼지 가스 채널(133)을 형성하도록, 지지 부재(122) 상에 위치되거나 지지 부재(122)와 인접할 수 있다. 환형 퍼지 가스 채널(133)은 샤프트(126) 및 지지 부재(122)를 통과하여 형성되는 퍼지 가스 도관(134)과 유체 소통할 수 있다. 바람직하게, 퍼지 가스 도관(134)은 퍼지 가스 채널(133)로 퍼지 가스를 제공하도록 퍼지 가스 공급원(미도시)과 유체 소통한다. 작동시, 퍼지 가스는 도관(134)을 통하여 퍼지 가스 채널(133) 내부로, 그리고 지지 부재(122) 상에 배치된 기판의 에지 둘레에서 유동한다. 따라서, 에지 링(121)과 협력하여 작용하는 퍼지 가스는 기판의 에지 및/또는 배면에 증착을 방지한다.
지지 조립체(120)의 온도는 지지 부재(122)의 본체에 매립된 유체 채널(135)을 통해 순환되는 유체에 의해 제어된다. 유체 채널(135)은 지지 조립체(120)의 샤프트(126)를 관통하여 배치되는 열전달 도관(136)과 유체 소통할 수 있다. 유체 채널(135)은 지지 부재(122)의 기판 수용 표면으로 균일한 열전달을 제공하도록 지지 부재(122)의 둘레에 위치될 수 있다. 유체 채널(135) 및 열전달 도관(136)은 지지 부재(122)를 가열 또는 냉각시키도록 열전달 유체를 유동시킬 수 있다. 지지 조립체(120)는 지지 부재(122)의 지지 표면의 온도를 감시하기 위한 매립형 열전쌍(미도시)을 더 포함할 수 있다.
작동시, 지지 부재(122)는 처리되는 기판(70)의 온도를 제어하도록 리드 조립체(140)에 근접하여 상승될 수 있다. 따라서, 기판(70)은 분배 플레이트(158)로부터 방출된 복사에 의해 가열될 수 있으며, 이러한 복사는 가열 소자에 의해 제어된다. 대안적으로, 기판(70)은 리프트 링(128)에 의해 작동되는 리프트 핀(130)을 사용하여, 가열된 리드 조립체(140)에 근접하여 지지 부재(122)를 들어올릴 수 있다.
이제, 처리 챔버(100) 내에서 실행되는, 암모니아(NH3) 및 삼불화질소화물(NF3) 가스 혼합물을 사용하여 실리콘 산화물을 제거하는 예시적인 건식 식각 프로세스가 설명된다. 도 3 및 도 5를 참조하면, 건식 식각 프로세스는 처리 구역(110)으로 기판(70)을 배치시킴으로써 시작된다. 통상적으로 기판(70)은 슬릿 밸브 개구(111)를 통해 챔버 본체(101) 내부에 놓여 지지 부재(122)의 상부 표면상에 배치된다. 기판(70)은 지지 부재(122)의 상부 표면으로 처킹되며, 가장자리 퍼지는 채널(133)을 통해 통과한다. 기판(70)은 도관(125)에 의해 진공 펌프와 유체 소통하는 홈(127) 및 구멍(124)을 통해 진공을 끌어당김으로써 지지 부재(122)의 상부 표면으로 처킹될 수 있다. 그 후, 지지 부재(122)는 이미 처리 위치에 있지 않은 경우, 챔버 본체(101) 내에서 처리 위치로 들어 올려진다. 챔버 본체(101)는 50℃ 내지 80℃의 온도, 보다 바람직하게는 약 65℃에서 유지될 수 있다. 챔버 본체(101)의 이러한 온도는 유체 채널(102)을 통해 열전달 매체를 통과시킴으로써 유지된다.
기판(70)은 지지 조립체(120) 내에 형성된 유체 채널(135)을 통해 열전달 매체 또는 냉각제를 통과시킴으로써, 65℃ 이하, 예를 들면 15℃ 내지 50℃로 냉각된다. 일 실시예에서, 기판(70)은 실온 이하로 유지된다. 다른 실시예에서, 기판(70)은 22℃ 내지 40℃의 온도로 유지된다. 통상적으로, 지지 부재(122)는 약 22℃ 이하로 유지되어 전술한 희망 기판 온도에 도달한다. 지지 부재(122)를 냉각시키기 위해, 냉각제는 유체 채널(135)을 통과한다. 연속적인 냉각제 유동이 지지 부재(122)의 온도를 보다 우수하게 제어하는데 바람직하다.
그 후, 암모니아 및 삼불화질소화물(nitrogen trifluoride)이 챔버(100) 내부로 도입되어 세척 가스 혼합물을 형성한다. 챔버 내부로 도입되는 각각의 가스의 양은 가변적이며, 예를 들면 제거될 산화층(72)의 두께, 세척되는 기판(70)의 기하학적 구조, 플라즈마의 체적 용량, 챔버 본체(101)의 체적 용량, 및 챔버 본체(101)에 연결되는 진공 시스템의 용량을 수용하도록 조정될 수 있다. 일 양태에서, 암모니아 대 삼불화질소화물의 몰 비가 적어도 1:1인 가스 혼합물을 제공하도록 가스가 더해진다. 다른 양태에서, 가스 혼합물의 몰 비는 적어도 약 3 대 1(암모니아 대 삼불화질소화물)이다. 바람직하게, 가스는 5:1(암모니아 대 삼불화질소화물) 내지 30:1의 몰 비로 챔버(100) 내부로 도입된다. 보다 바람직하게, 가스 혼합물의 몰 비는 약 5 대 1(암모니아 대 삼불화질소화물) 내지 약 10 대 1이다. 또한, 가스 혼합물의 몰 비는 약 10:1(암모니아 대 삼불화질소화물) 내지 약 20:1이 될 수 있다.
또한, 퍼지 가스 또는 캐리어 가스가 가스 혼합물에 추가될 수 있다. 예를 들면 아르곤, 헬륨, 수소, 질소, 또는 이들의 조합과 같은 임의의 적합한 퍼지/캐리어 가스가 사용될 수 있다. 통상적으로, 전체 가스 혼합물은 암모니아와 삼불화질소화물의 체적의 약 0.05% 내지 약 20%이고; 나머지는 캐리어 가스이다. 일 실시예에서, 퍼지 가스 또는 캐리어 가스는, 챔버 본체(101) 내의 압력을 안정화시키기 위한 반응성 가스에 앞서, 챔버 본체(101) 내부로 먼저 도입된다.
챔버 본체(101) 내의 작동 압력은 변화할 수 있다. 통상적으로, 압력은 약 500 mTorr 내지 약 30 Torr로 유지된다. 바람직하게, 압력은 약 1 Torr 내지 약 10 Torr로 유지된다. 보다 바람직하게, 챔버 본체(101) 내의 작동 압력은 약 3Torr 내지 약 6Torr로 유지된다.
약 5 내지 약 600와트의 RF 전력이 제 1 전극(141)에 인가되어 플라즈마 공동(149) 내에서 가스 혼합물의 플라즈마를 점화시킨다. 바람직하게, RF 전력은 100와트보다 작다. 보다 바람직하게, 전력이 인가되는 주파수는 예를 들면 100kHz 미만과 같이 비교적 낮다. 바람직하게, 주파수는 약 50kHz 내지 약 90kHz 범위이다. 낮은 전극(153), 차단 플레이트(162) 및 분배 플레이트(158)로 인해, 플라즈마 공동(149) 내에서 점화된 플라즈마는 처리 구역(110) 내에서 기판(70)과 접촉하지 않지만, 그 대신 플라즈마 공동(149) 내에 갇힌 채로 유지된다. 따라서, 플라즈마는 처리 구역(110)에 대하여 플라즈마 공동(149) 내에서 멀리 떨어져서 발생된다. 즉, 처리 챔버(100)는 2개의 별도의 영역: 플라즈마 공동(149) 및 처리 구역(110)을 제공한다. 이들 영역은 플라즈마 공동(149) 내에 형성된 플라즈마에 대하여 서로 소통되지 않지만, 플라즈마 공동(149) 내에 형성된 반응종에 대하여 서로 소통된다. 특히, 플라즈마로부터 인한 반응종은 통공(156)을 통해 플라즈마 공동(149)을 빠져나가고, 차단 플레이트(162)의 통공(163)을 통과하며, 분배 플레이트(158)의 통공(161)을 통해 처리 구역(110)으로 들어간다.
플라즈마 에너지는 암모니아 및 삼불화질소화물 가스를 반응종으로 분해시키며, 반응종은 고반응성 불화 암모늄(NH4F) 화합물 및/또는 불화수소 암모늄(NH4F·HF)을 가스상으로 형성하도록 화합시킨다. 이들 분자는 통공(156,163,161)을 통해 유동하여 기판(70)의 산화층(72)과 반응한다. 일 실시예에서, 캐리어 가스가 먼저 챔버(100) 내부로 도입되고, 캐리어 가스의 플라즈마가 플라즈마 공동(149) 내에서 발생된 후, 반응성 가스, 암모니아 및 삼불화질소화물이 플라즈마에 추가된다. 전술한 바와 같이, 플라즈마 공동(149) 내에서 형성되는 플라즈마는 처리 영역 또는 구역(110) 내에 배치되는 기판(70)에 도달하지 않는다.
이론을 따르고자 하지 않을 때, 부식 가스, NH4F, 및/또는 NH4F·HF는 실리콘 산화물 표면(72)과 반응하여 규불화암모늄((NH4)2SiF6), NH3 및 H2O 부산물을 형성하는 것으로 생각된다. NH3 및 H2O는 처리 상태일 때 증기이며, 진공 펌프(104)에 의해 챔버(100)로부터 제거된다. 특히, 가스가 진공 포트(107)를 통해 진공 펌프(104) 내부로 챔버(100)를 빠져나가기 전에, 휘발성 가스가 라이너(108)에 형성된 통공(109)을 통해 펌핑 채널(106)로 유동한다. (NH4)2SiF6으로 된 박막은 기판(70)의 표면상에 남겨진다. 이러한 반응 메커니즘은 아래와 같이 요약될 수 있다.:
NF3 + NH3 → NH4F + NH4F·HF + N2
6NH4F + SiO2 → (NH4)2SiF6 + H2O
(NH4)2SiF6 + 열 → NH3 + HF + SiF4
박막이 기판 표면상에 형성된 후, 그 위에 지지되는 기판(70)을 갖는 지지 부재(122)는 상승되어 가열된 분배 플레이트(158)에 근접한 어닐 위치(anneal position)로 상승된다. 분배 플레이트(158)로부터 복사된 열은 (NH4)2SiF6로 된 박막을 휘발성 SiF4, NH3, 및 HF 부산물로 분해 또는 승화시키기에 충분하여야 한다. 그 후, 이들 휘발성 부산물은 전술한 바와 같이 진공 펌프(104)에 의해 챔버(32)로부터 제거된다. 사실상, 박막은 기판(70)으로부터 끓거나 증발되어 노출된 에피택시 표면(74)을 남긴다. 통상적으로, 기판(70)으로부터 박막을 효과적으로 승화시키고 제거하는데 75℃ 또는 그보다 높은 온도가 사용된다. 바람직하게, 약 115℃ 내지 약 200℃와 같이, 100℃ 또는 그보다 높은 온도가 사용된다.
(NH4)2SiF6로 된 박막을 휘발성 성분으로 분해시키기 위한 열 에너지는 분배 플레이트(158)에 의해 대류 또는 복사된다. 전술한 바와 같이, 가열 소자(160)는 부재(122)에 직접 연결될 수 있으며, 분배 플레이트(158) 및 약 75℃ 내지 250℃의 온도로 그와 함께 열접촉되는 성분을 가열하도록 작동된다. 일 양태에서, 분배 플레이트(158)는 약 120℃와 같이, 100℃ 내지 200℃의 온도로 가열된다.
리프트 기구(131)는 부재(122)의 하부 표면을 향하여 지지 부재(122)를 상승시킬 수 있다. 이러한 상승 단계 중에, 기판(70)은 예를 들면 진공 척 또는 정전척에 의해 지지 부재(122)에 고정된다. 대안적으로, 기판(70)은 지지 부재(122)로부터 들어 올려져서 리프트 링(128)에 의해 리프트 핀(130)을 상승시킴으로써 가열된 분배 플레이트(158)에 근접하게 배치될 수 있다.
그 위에 박막을 갖는 기판(70)의 상부 표면과 분배 플레이트(158) 사이의 거리는 중요하지 않으며 일상 실험의 문제이다. 당업자는 아래에 놓인 기판(70)을 손상시키지 않고 박막을 효율적이며 효과적으로 증발시키는데 요구되는 간격을 용이하게 결정할 수 있다. 그러나 약 0.254㎜(10 mils) 내지 5.08㎜(200 mils)의 간격이 효과적인 것으로 생각된다.
기판(70)으로부터 막이 제거되면, 에피택시 표면(74)이 노출되며, 기판(70)은 후속 에피택셜 증착 프로세스에 대한 준비가 된다. 건식 식각 처리기(32)는 퍼지되고 배기된다. 세척된 기판(70)은 이송 위치로 기판(70)을 낮추고, 기판(70)을 처킹 분리하며, 슬릿 밸브 개구(111)를 통해 기판(70)을 이송함으로써, 챔버 본체(101)로부터 제거된다. 제 1 로봇(20)은 기판(70)을 건식 식각 처리기(32)로부터 에피택셜 증착 반응기(34)로 이송한다. 기판(70)이 로드록 시스템(10) 내에 유지되기 때문에, 기판(70)은 이러한 이송 프로세스 중에 임의의 대기에 노출되지 않는다. 즉, 플라즈마 공동(149), 처리 구역(110) 및 에피택셜 증착 반응기(34)는 서로 진공 기밀 소통되며, 이는 원치 않는 산소가 임의의 이들 영역으로 들어가는 것을 방지한다. 따라서, 에피택시 표면(74)은 산소로 오염되지 않고, 기판(70)이 에피택셜 증착 반응기(34) 내부로 로딩될 때 깨끗하게 노출되어 유지된다. 따라서, 전술한 바와 같이 에피택시 층(76)은 에피택시 표면(74) 상에서 바로 성장될 수 있다.
HF-지속 습식 세척 단계를 전술한 건식 세척 절차로 교체함으로써, 단일한 로드록 시스템(10) 내에서 전체 에피택셜 증착 프로세스를 실행할 수 있다. 따라서, 대기 시간이 감소된다. 또한, 전술한 건식 세척 프로세스는, 산화물-질소화물-실리콘 기판에 대해 HF 습식 식각과 달리 산화물로 측면 식각하기 때문에, 더 적은 언더컷 결과를 갖는 것으로 생각된다. 그러나 프로세스 단계가 변경될 때는 언제나, 특히 에피택셜 증착 직전의 세척 단계에서 표면이 에피택셜 증착에 견딜 수 없을 수 있는 위험이 존재하는 것으로 생각될 것이다. 산소, 플루오르, 염소, 또는 질소와 같이 높은 레벨의 특정 원소는 에피택시 프로세스에 해로운 영향을 미칠 수 있다.
시험 삼아, 전술된 프로세스에 따라 제 1 그룹의 기판에 통상적인 HF-지속 프로세스를, 및 제 2 그룹의 기판에 플라즈마 건식 세척 프로세스를 사용하여 실리콘 기판이 세척되었다. 암모니아와 삼불화질소화물 가스 및 플라즈마를 활용한 플라즈마 건식 세척 프로세스는 반응종으로의 변환을 위해 점화되었으며, 반응종은 제 2 그룹의 기판을 세척하는데 사용된 가스상으로 고반응성 불화 암모늄(NH4F) 화합물 및/또는 불화수소 암모늄(NH4F·HF)을 형성하도록 화합된다. 그 후, 에피택셜 증착이 850℃, 750℃, 700℃, 및 650℃의 증착 온도에서 실행되었다. 그 후, 에피택셜 막/기판 계면에서 특정 원소의 레벨을 결정하기 위해, 선택된 샘플은 X선 회절(XRD) 및 이차 이온 질량 분석법(SIMS)을 사용하여 분석되었다. X선 회절(XRD) 분석은, 제 1 그룹의 HF가 지속되어 세척된 기판과 제 2 그룹의 막 구성 및 성장률의 관점에서 시험된 모든 증착 온도에 대한 플라즈마를 사용하여 건식 세척된 기판 사이에 차이를 나타내지 않았다. 이차 이온 질량 분석법(SIMS) 프로파일은 HF가 지속되어 세척된 기판과 800℃보다 높거나 같은 온도에서 실행된 에피택셜 증착에 대해 플라즈마를 사용하여 건식 세척된 기판에 비교되었다. 그러나 건식 세척 식각 프로세스를 사용하는 750℃ 증착보다 낮거나 같은 온도에서 실행된 에피택셜 증착에 대한 기판/에피택시 계면에서 높은 레벨의 O, F, Cl, 및 N이 관찰되었다. 건식 세척된 기판의 증착 전에, HCl을 이용한 인-시튜 베이크(in-situ bake)는 전술한 계면에 남아 있는 원소를 감소시키지 않았다. 그럼에도, 이러한 제한된 일련의 실험은, 플라즈마를 사용한 건식 세척이 일반적으로 에피택셜 증착 전에 사용되는 HF-지속 프로세스에 대한 허용 가능한 대체물임을 나타낸다. 또한, 이러한 장치 및 프로세스의 세부 조정 및 정밀화는 750℃ 이하에서 처리된 기판에서 관찰된 O, F, Cl, 및 N의 레벨을 감소시킬 것으로 기대된다.
전술한 것과 다른 반응종은 건식 식각 단계에서 가능한 것으로; 예를 들면 추가의 수소 플라즈마가 잔류 원소의 레벨을 낮추는 것을 도울 수 있는 것으로 생각된다. 즉, 다른 유형의 가스가 가스 이송 시스템(220) 내부로 도입될 수 있으며, 기판(70)으로부터 멀리 떨어져 있는 플라즈마로 형성될 수 있다. 이렇게 형성된 플라즈마는 기판(70) 상의 산화물 표면(72)으로 계속해서 이동하고 산화물 표면과 반응하는 반응종을 형성하여, 에피택시 표면(74)을 노출시킬 수 있다. 기판(70)은 산화물 층(72)의 제거를 지원하는데 요구되는 바대로 가열 또는 냉각될 수 있다.
본 명세서에서 본 발명은 특정 실시예를 참고로 설명되었지만, 이들 실시예는 본 발명의 원리 및 적용에 대한 예시일뿐임이 이해되어야 한다. 본 발명의 사상 및 범주를 벗어나지 않고 본 발명의 방법 및 장치에 대한 다양한 변형 및 변화가 이루어질 수 있음이 당업자에게 명백할 것이다. 따라서, 본 발명은 첨부된 특허청구범위 및 그 등가물의 범주 내에 있는 변형예 및 변화를 포함할 것이다.

Claims (15)

  1. 에피택셜 증착 방법으로서:
    처리 챔버 내부로 산화물 층을 갖는 기판을 도입시키는 단계;
    플라즈마 공동 내부로 가스 혼합물을 도입시키는 단계;
    상기 공동 내에 반응성 가스의 플라즈마를 형성하도록 상기 가스 혼합물에 전원을 인가하는 단계;
    상기 반응성 가스를 상기 처리 챔버 내부로 도입시키는 단계;
    상기 기판을 상기 반응성 가스와 반응시키는 동안 상기 기판을 65℃ 이하의 온도로 유지시키면서, 휘발성 막을 형성하도록 상기 반응성 가스와 상기 기판을 반응시키는 단계;
    상기 산화물 층을 제거함으로써 에피택시 표면을 노출시키기 위해 상기 휘발성 막을 증발시키도록 75℃ 이상의 제 1 온도로 상기 기판을 가열하는 단계; 및
    상기 에피택시 표면상에 에피택셜 층을 형성하는 단계;를 포함하는
    에피택셜 증착 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마가 상기 기판과 접촉하지 않는
    에피택셜 증착 방법.
  3. 제 2 항에 있어서,
    상기 플라즈마 공동이 상기 처리 챔버로부터 분리된
    에피택셜 증착 방법.
  4. 제 1 항에 있어서,
    상기 에피택셜 층을 형성하는 단계가,
    상기 기판을 대기에 노출시키지 않고 에피택셜 증착 반응기 내부로 상기 기판을 이동시키는 단계를 포함하는
    에피택셜 증착 방법.
  5. 제 4 항에 있어서,
    상기 플라즈마 공동, 상기 처리 챔버, 및 상기 에피택셜 증착 반응기가 모두 다중 챔버 처리 시스템에 진공 연결되는
    에피택셜 증착 방법.
  6. 제 1 항에 있어서,
    상기 제 1 온도가 100℃ 이상인
    에피택셜 증착 방법.
  7. 제 6 항에 있어서,
    상기 제 1 온도가 100℃ 내지 200℃인
    에피택셜 증착 방법.
  8. 제 7 항에 있어서,
    상기 가스 혼합물이 암모니아(NH3) 및 삼불화질소화물(NF3)을 포함하고, 상기 반응성 가스가 불화 암모늄(NH4F) 또는 불화수소 암모늄(NH4F·HF)을 포함하며, 상기 휘발성 막이 규불화암모늄((NH4)2SiF6)을 포함하는
    에피택셜 증착 방법.
  9. 다중 챔버 장치로서:
    에피택셜 증착 반응기;
    상기 에피택셜 증착 반응기와 진공 기밀 연결되는 건식 식각 프로세서로서, 상기 건식 식각 프로세서가:
    기판을 유지시키도록 구성된 처리 챔버;와
    상기 처리 챔버로부터 멀리 떨어져서 플라즈마를 형성하도록 구성된 플라즈마 공동;을 포함하는, 건식 식각 프로세서; 및
    상기 건식 식각 프로세서로부터 상기 에피택셜 증착 반응기로 기판을 이송시키기 위한 이송 로봇;을 포함하는
    다중 챔버 장치.
  10. 제 9 항에 있어서,
    상기 처리 챔버가 기판을 냉각시킬 수 있는 하나 또는 그보다 많은 유체 채널을 구비하는 기판 지지부, 및
    상기 플라즈마 공동과 유체 소통하는 적어도 리드 조립체의 하부를 포함하며,
    상기 리드 조립체의 하부가 상기 기판을 대류로 가열하도록 구성되는
    다중 챔버 장치.
  11. 제 10 항에 있어서,
    상기 플라즈마 공동이 제 1 전극 및 제 2 전극을 포함하고, 상기 제 1 전극이 무선 주파수 공급원, 마이크로파 공급원, 또는 직류 공급원에 연결되며, 상기 제 2 전극이 접지되고, 상기 리드 조립체의 하부와 유체 소통하는
    다중 챔버 장치.
  12. 제 11 항에 있어서,
    지지 조립체가 상기 챔버 내에서, 상기 리드 조립체의 하부에 근접한 가열 위치와 상기 리드 조립체의 하부로부터 떨어진 식각 위치 사이에서 이동하도록 구성되는
    다중 챔버 장치.
  13. 제 12 항에 있어서,
    상기 지지부가 제 1 및 제 2 단부를 갖는 기판 지지 표면, 및
    상기 제 2 단부에서 퍼지 가스 공급원 또는 진공 공급원 및 상기 제 1 단부와 유체 소통되는 하나 또는 그보다 많은 가스 통로를 포함하는
    다중 챔버 장치.
  14. 제 11 항에 있어서,
    상기 플라즈마 공동이 암모니아(NH3) 및 삼불화질소화물(NF3)을 제공할 수 있는 공급원에 연결되는
    다중 챔버 장치.
  15. 제 11 항에 있어서,
    상기 건식 반응기가 불화 암모늄(NH4F) 또는 불화수소 암모늄(NH4F·HF)을 포함하는 반응성 가스를 형성하도록 구성되는
    다중 챔버 장치.
KR1020117002537A 2006-02-03 2007-01-16 에피택셜 증착 프로세스 및 장치 KR101201964B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/346,804 2006-02-03
US11/346,804 US7494545B2 (en) 2006-02-03 2006-02-03 Epitaxial deposition process and apparatus
PCT/US2007/001031 WO2007092130A2 (en) 2006-02-03 2007-01-16 Dry etch and epitaxial deposition process and apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087021614A Division KR20080099305A (ko) 2006-02-03 2007-01-16 에피택셜 증착 프로세스 및 장치

Publications (2)

Publication Number Publication Date
KR20110019445A true KR20110019445A (ko) 2011-02-25
KR101201964B1 KR101201964B1 (ko) 2012-11-20

Family

ID=38202709

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020087021614A KR20080099305A (ko) 2006-02-03 2007-01-16 에피택셜 증착 프로세스 및 장치
KR1020117002537A KR101201964B1 (ko) 2006-02-03 2007-01-16 에피택셜 증착 프로세스 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020087021614A KR20080099305A (ko) 2006-02-03 2007-01-16 에피택셜 증착 프로세스 및 장치

Country Status (7)

Country Link
US (1) US7494545B2 (ko)
EP (1) EP1994201A2 (ko)
JP (3) JP2009525611A (ko)
KR (2) KR20080099305A (ko)
CN (1) CN101379214B (ko)
TW (1) TWI355685B (ko)
WO (1) WO2007092130A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101321762B1 (ko) * 2011-02-28 2013-10-28 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
KR20200035185A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 통합 에피택시 및 사전세정 시스템
KR20200035187A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
JP2012519962A (ja) * 2009-03-05 2012-08-30 アプライド マテリアルズ インコーポレイテッド 界面汚染を低減した層を堆積させる方法
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
CN101559946B (zh) * 2009-04-27 2011-01-05 浙江大学 利用等离子体制备硅纳米颗粒的方法及装置
KR101051284B1 (ko) * 2009-06-04 2011-07-22 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8263468B2 (en) 2010-04-24 2012-09-11 International Busienss Machines Corporation Thin body semiconductor devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20130004681A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
JP5917861B2 (ja) 2011-08-30 2016-05-18 株式会社Screenホールディングス 基板処理方法
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014025918A1 (en) * 2012-08-08 2014-02-13 Applied Materials, Inc Linked vacuum processing tools and methods of using the same
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9683308B2 (en) 2013-08-09 2017-06-20 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US20160189958A1 (en) * 2014-12-30 2016-06-30 The Board Of Trustees Of The University Of Arkansas HETEROEPITAXIAL GROWTH OF Ge-Sn ALLOYS
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP2018532258A (ja) * 2015-08-17 2018-11-01 オントス イクイップメント システムズ インコーポレイテッド 大気圧プラズマによる準備工程を使用するエピタキシャル成長
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI782220B (zh) 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
CN105239056B (zh) * 2015-10-27 2018-01-26 上海集成电路研发中心有限公司 一种原子层沉积设备以及方法
US9905412B2 (en) 2015-12-04 2018-02-27 Applied Materials, Inc. Method and solution for cleaning InGaAs (or III-V) substrates
US10096473B2 (en) * 2016-04-07 2018-10-09 Aixtron Se Formation of a layer on a semiconductor substrate
US9972968B2 (en) * 2016-04-20 2018-05-15 Trumpf Photonics, Inc. Passivation of laser facets and systems for performing the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6745166B2 (ja) * 2016-08-12 2020-08-26 株式会社アルバック 成膜方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
KR102312122B1 (ko) * 2016-09-15 2021-10-14 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세스를 위한 통합 시스템
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
JP6697640B2 (ja) * 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN110249417B (zh) * 2017-02-10 2023-10-24 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190006777A (ko) * 2017-07-11 2019-01-21 주식회사 유진테크 기판 처리 장치
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI663674B (zh) * 2017-07-25 2019-06-21 漢民科技股份有限公司 用於半導體製程之腔體蓋與頂板之組合體及成膜裝置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107527870B (zh) * 2017-08-29 2023-08-25 惠科股份有限公司 一种阵列基板的制作方法及其制作设备
CN111033680A (zh) * 2017-08-30 2020-04-17 应用材料公司 集成式外延系统高温污染物去除
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112011788A (zh) * 2019-05-29 2020-12-01 成都珠峰永明科技有限公司 硅异质结太阳能电池本征非晶硅膜层的制备方法
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
CN115681653A (zh) * 2021-07-29 2023-02-03 北京北方华创微电子装备有限公司 半导体工艺设备及其进气装置
CN113745131B (zh) * 2021-08-31 2024-01-16 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备
WO2023033947A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Cluster tools, systems, and methods having one or more pressure stabilization chambers
CN116092953B (zh) * 2023-03-07 2023-07-18 天津中科晶禾电子科技有限责任公司 一种晶圆键合装置、方法及复合衬底组件

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
JPH01295412A (ja) * 1988-05-24 1989-11-29 Sumitomo Metal Ind Ltd プラズマ気相成長装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
JP2983244B2 (ja) * 1990-03-29 1999-11-29 株式会社東芝 表面処理方法
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3215898B2 (ja) * 1992-04-28 2001-10-09 日本電信電話株式会社 プラズマcvd法およびプラズマcvd装置
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH08191053A (ja) * 1995-01-10 1996-07-23 Kawasaki Steel Corp 半導体装置およびその製造方法
JPH09115860A (ja) * 1995-10-20 1997-05-02 Sony Corp 電子装置およびその製造方法
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP3954833B2 (ja) * 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
JP2003282530A (ja) 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101321762B1 (ko) * 2011-02-28 2013-10-28 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
US8575042B2 (en) 2011-02-28 2013-11-05 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and method of processing substrate and substrate processing apparatus
US9349587B2 (en) 2011-02-28 2016-05-24 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and method of processing substrate and substrate processing apparatus
KR20200035185A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 통합 에피택시 및 사전세정 시스템
KR20200035187A (ko) * 2017-08-30 2020-04-01 어플라이드 머티어리얼스, 인코포레이티드 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Also Published As

Publication number Publication date
US7494545B2 (en) 2009-02-24
WO2007092130A3 (en) 2007-09-27
US20070181057A1 (en) 2007-08-09
CN101379214A (zh) 2009-03-04
KR101201964B1 (ko) 2012-11-20
JP2016167610A (ja) 2016-09-15
JP2009525611A (ja) 2009-07-09
JP6272934B2 (ja) 2018-01-31
EP1994201A2 (en) 2008-11-26
CN101379214B (zh) 2013-12-18
TWI355685B (en) 2012-01-01
JP2013175745A (ja) 2013-09-05
TW200737310A (en) 2007-10-01
WO2007092130A2 (en) 2007-08-16
KR20080099305A (ko) 2008-11-12

Similar Documents

Publication Publication Date Title
KR101201964B1 (ko) 에피택셜 증착 프로세스 및 장치
TWI820036B (zh) 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US10199215B2 (en) Apparatus and method for selective deposition
US20190062904A1 (en) Integrated epitaxy system high temperature contaminant removal
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
US20100099263A1 (en) Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
TWI387667B (zh) 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
KR20160146581A (ko) 전-세정 부산물들의 승화를 위한 반응기 시스템 및 그 방법
KR20070087196A (ko) 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법
TW201839891A (zh) 用於深溝槽內的低溫選擇性磊晶之方法及設備
KR100975717B1 (ko) 기상성장장치와 기상성장방법
TW202221825A (zh) 在批次熱處理腔室中的晶圓邊緣溫度校正
JP2003158081A (ja) 基板処理装置
TW202331789A (zh) 整合式磊晶與預清潔系統

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181031

Year of fee payment: 7