KR100443121B1 - 반도체 공정의 수행 방법 및 반도체 공정 장치 - Google Patents

반도체 공정의 수행 방법 및 반도체 공정 장치 Download PDF

Info

Publication number
KR100443121B1
KR100443121B1 KR10-2001-0075019A KR20010075019A KR100443121B1 KR 100443121 B1 KR100443121 B1 KR 100443121B1 KR 20010075019 A KR20010075019 A KR 20010075019A KR 100443121 B1 KR100443121 B1 KR 100443121B1
Authority
KR
South Korea
Prior art keywords
wafer
group
boat
reactor
wafers
Prior art date
Application number
KR10-2001-0075019A
Other languages
English (en)
Other versions
KR20030044309A (ko
Inventor
안재혁
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2001-0075019A priority Critical patent/KR100443121B1/ko
Priority to US10/265,699 priority patent/US6911112B2/en
Priority to TW091123169A priority patent/TW561518B/zh
Priority to DE10255688A priority patent/DE10255688A1/de
Publication of KR20030044309A publication Critical patent/KR20030044309A/ko
Application granted granted Critical
Publication of KR100443121B1 publication Critical patent/KR100443121B1/ko
Priority to US11/034,950 priority patent/US7223702B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

공정 불량 및 공정 시간 손실을 최소화하는 반도체 공정의 수행 방법 및 장치가 개시되어 있다. 제1군 웨이퍼는 제1 반응기 내에서 제1 공정을 수행한 후, 외부 공기와 밀폐된 상태에서 상기 제2 반응기로 이송한다. 상기 제1 군 웨이퍼는 상기 제2 반응기에서 제1 공정보다 긴 공정 시간이 소요되는 제2 공정을 수행하고, 동시에 제2군 웨이퍼는 상기 제1 반응기 내에서 제1 공정을 수행한다. 공정 수행 후, 상기 제2군 웨이퍼는 외부 공기와 밀폐된 상태에서 웨이퍼가 인입되어 있지 않은 어느 하나의 제2 반응기로 이송한다. 상기 제1 군 웨이퍼는 상기 제2 반응기에서 제1 공정보다 긴 공정 시간이 소요되는 제2 공정을 수행한다. 상기 제2 공정이 완료된 웨이퍼 군은 제2 반응기로부터 반출한다. 때문에 상기 웨이퍼들의 이송시에 외부 공기에 의해 발생할 수 있는 공정 불량이 최소화되고, 공정 시간 차이에 따른 시간의 손실을 최소화할 수 있다.

Description

반도체 공정의 수행 방법 및 반도체 공정 장치{Method for processing of semiconductor and apparatus for processing of semiconductor}
본 발명은 반도체 공정의 수행 방법 및 반도체 공정 장치에 관한 것으로, 보다 상세하게는 다수매의 웨이퍼에 막을 형성하는 반도체 공정의 수행 방법 및 상기 공정을 수행하기에 적합한 장치에 관한 것이다.
일반적으로 반도체 장치는 실리콘으로 형성되는 웨이퍼 상에 사진, 식각, 이온주입, 화학 기계적 연마등과 같은 일련의 단위 공정들을 반복적으로 수행함으로서 제조된다. 상기 각 단위 공정들을 수행 시에는, 상기 단위 공정에 의해 완성되는 반도체 장치의 기능이 향상되고 불량이 감소되도록 각 공정 조건을 최적화하하여야 한다. 이와 더불어, 상기 단위 공정을 수행하는데 소요되는 시간이 감소시켜 반도체 장치의 생산성이 향상되도록 각 조건들을 최적화하여야 한다.
상기 반도체 장치의 제조를 위한 각 단위 공정들은 이러한 점을 고려하여 점점 발전되고 있으나, 여전히 많은 부분에서 생산성의 손실이 발생되고 있으며 각 단위 공정에서 발생되는 일정 유형의 불량들은 계속하여 발생하고 있다.
예컨대, 상기 반도체 장치의 제조를 위한 각 단위 공정들 중에서 다수매의 웨이퍼 상에 동시에 막을 형성하는 배치식(batch) 화학 기상 증착 공정을 살펴보기로 하자.
상기 배치식 화학 기상 증착 공정은 고온의 종형로(furnace) 내에서 공정이 수행되기 때문에, 웨이퍼 표면의 실리콘과 산소와의 반응에 의한 자연 산화막이 형성되는 불량이 빈번이 발생한다. 즉, 공정 수행 이전에 이미 상기 웨이퍼의 표면에는 원치않는 자연 산화막이 성장하여 있고, 상기 자연 산화막의 상부에 막을 형성함으로서 공정 불량을 유발한다.
도 1은 자연 산화막이 형성되어 공정 불량이 발생하는 일 예를 설명하기 위한 단면도이다.
도시된 바와 같이, 실리콘 기판(10)상에 산화막(12)을 형성하고, 상기 산화막의 일측에 상기 실리콘 기판의 소정 부위(일반적으로 소오스, 드레인이 형성된 부위)를 노출시키는 콘택홀이 구비된다. 이어서, 상기 결과물에 상기 실리콘 기판과 접촉하는 도전막(16)을 형성한다. 이 때 상기 도전막은 배치식 화학 기상 증착에 의해 수행한다.
그런데, 상기 도전막(16)을 형성하기 이전에 상기 콘택홀 저면에는 노출된 실리콘 기판과 대기 중의 산소와의 반응에 의해 이미 수 내지 수백 Å의 자연 산화막(14)이 형성되어 있다. 상기 자연 산화막(14)은 절연 물질이고, 하부의 실리콘 기판(10)과 상기 도전막(16) 사이에 형성되므로, 콘택 저항을 증가시킨다. 상기 콘택 저항의 증가는 반도체 장치의 동작 속도를 저하시키고, 전기적인 특성 및 신뢰성을 저하시키는 원인이 된다.
따라서, 상기 웨이퍼들 상에 화학 기상 증착 공정을 수행하기 이전에는, 상기 웨이퍼들의 표면에 남아있는 유기 오염물이나 자연 산화막을 제거하는 공정을 선행한다. 상기 웨이퍼들 상에 유기 오염물이나 자연 산화막을 제거는 일반적으로, 별도의 습식 식각 장치에서 상기 웨이퍼들을 케미컬 내에 침지하는 방식의 습식 식각 공정에 의해 수행된다. 즉, 상기 자연 산화막의 제거는, 상기 웨이퍼들의 표면에 형성된 자연 산화막을 케미컬에 의해 제거하는 공정, 상기 웨이퍼들 표면에 남아있는 케미컬들을 세정하는 공정, 및 웨이퍼들을 건조하는 공정을 포함하는 공정들을 수행하고, 상기 웨이퍼들을 종형로로 이송시켜 화학 기상 증착 공정을 수행한다.
그런데 상기 방법은 웨이퍼들 표면의 자연 산화막을 제거한 이후에 바로 증착 공정이 수행되지 못하면, 상기 웨이퍼 표면의 실리콘이 공기중의 산소와 반응하여 다시 자연 산화막이 성장하게 된다. 이 때문에, 상기 웨이퍼들 표면의 자연 산화막을 제거한 이후에 스팩(spec)에 정해진 소정 시간 이내에 반드시 증착 공정을 수행하여야 한다. 만일 상기 소정의 시간 이내에 증착 공정이 수행되지 못하였을 경우에는, 상기 웨이퍼 표면의 자연 산화막을 제거하는 공정을 재수행하여야 한다.
그러나 실재적인 반도체 장치의 양산 공정 시에, 웨이퍼들 표면의 자연 산화막을 제거하는 습식 식각 공정을 수행한 후에 바로 상기 증착 공정을 수행하기가 매우 어렵다. 또한 상기와 같이 습식 식각 장치 및 증착 장치를 각각 운용하여야 하므로, 작업이 번거러울 뿐 아니라 각 장치의 가동률도 저하된다.
만일, 상기 웨이퍼들 표면의 자연 산화막을 제거한 이후에 스팩(spec)에 정해진 소정 시간 이내에 증착 공정을 수행하더라도, 자연 산화막 성장을 완전히 배제할 수 없다. 더구나, 상기 자연 산화막은 고온을 유지하는 종형로로 상기 웨이퍼들을 로딩(loading)하는 도중에 빠르게 성장한다. 이는 실리콘과 산소와의 반응이 고온에서 더욱 활발하게 일어나기 때문이다. 그러므로, 상기 습식 식각 방법에 의해서는 웨이퍼 표면의 자연 산화막을 완벽하게 제거하기가 매우 어렵다.
상기 자연 산화막을 제거하고 재 성장을 방지하기 위하여, 웨이퍼들 상에 막을 증착하기 위한 종형로와, 상기 종형로의 일측에 건식 식각 챔버를 구비하고, 상기 종형로와 건식 식각 챔버에 웨이퍼를 반입 또는 반출시키는 로드락 실을 구비하는 종형 열처리 장치가 일본국 특개평 4-188722호에 개시되어 있다. 상기 장치를 사용하면, 웨이퍼는 상기 건식 식각을 수행하여 자연 산화막이 제거되고, 그 이후에 종형로에 반입하여 증착을 수행할 수 있다.
그러나 상기 장치에서는, 로드락 내에 구비되는 로봇암에 의해 웨이퍼들의 이송이 이루어지므로 공정 시간이 증가되는 문제점이 있다. 구체적으로, 증착 공정을 수행하기 위한 웨이퍼는 상기 로봇암에 의해 상기 건식 식각 챔버 내에 구비되는 보우트로 이송된다. 이 때 상기 로봇암은 상기 웨이퍼를 한번에 수 매(약 5매)정도 밖에 이송시키지 못하므로, 다수매(25매 내지 100매)의 웨이퍼를 보우트로 이송하기 위해 수 회에 걸친 이송 공정이 수행되어야 한다. 상기 건식 식각이 완료되면, 식각 챔버 내의 보우트에 적재되어 있는 웨이퍼는 다시 상기 로봇암에 의해 상기 종형로로 이송되어야 한다. 그리고, 상기 웨이퍼에 증착 공정이 완료되면, 다시 상기 종형로 내의 보우트에 적재된 웨이퍼는 다시 상기 로봇암에 의해 외부로 반출되어야 한다. 이러한 일련의 공정을 수행하는데는 상당한 공정 시간이 소요되므로, 반도체 장치의 생산성을 저하시키는 문제점이 발생한다.
따라서, 본 발명의 제1 목적은 공정 불량 및 공정 시간 손실을 최소화하는 반도체 공정의 수행 방법을 제공하는데 있다.
본 발명의 제2 목적은 공정 불량 및 공정 시간 손실을 최소화하는 반도체 공정 장치를 제공하는데 있다.
도 1은 자연 산화막이 형성되어 공정 불량이 발생하는 일 예를 설명하기 위한 단면도이다.
도 2 내지 도 4는 본 발명의 일 실시예에 따른 반도체 공정 장치를 나타내는 도면들이다.
도 5는 건식 식각 모듈을 나타내는 단면도이다.
도 6은 본 발명의 일 실시예에 따른 반도체 공정 방법을 설명하기 위한 공정도이다.
<도면의 주요 부분에 대한 부호의 설명>
100 : 보우트 102 : 건식 식각 모듈
122 : 종형로 130 : 이송 모듈
132 : 냉각 스테이션
상기한 제1 목적을 달성하기 위하여 본 발명은,
i) 다수매의 제1 군 웨이퍼를 제1 반응기 내로 이송하는 단계;
ii)상기 제1 반응기 내에서 상기 제1 군 웨이퍼에 제1 공정을 수행하는 단계;
iii)상기 제1 공정이 완료된 제1 군 웨이퍼를 외부 공기와 긴밀하게 밀폐된 상태에서 복수개의 제2 반응기 중 어느 하나의 제2 반응기 내로 이송하는 단계;
iv)상기 제1 군 웨이퍼를 수용하는 제2 반응기 내에서 상기 제1 공정보다 긴 공정 시간이 소요되는 제2 공정을 수행함과 동시에, 다수매의 제2 군 웨이퍼를 상기 제1 반응기내로 이송하는 단계;
v)상기 제1 반응기 내에서 상기 제 2군 웨이퍼에 제1 공정을 수행하는 단계;
vi)상기 제1 공정이 완료된 제 2군 웨이퍼를 외부 공기와 긴밀하게 밀폐된 상태에서 웨이퍼들이 수용되어 있지 않는 어느 하나의 제2 반응기 내로 이송하는 단계;
vii)상기 제2군 웨이퍼를 수용하는 제2 반응기 내에서 상기 제1 공정보다 긴 공정 시간이 소요되는 제2 공정을 수행하는 단계;
viii)상기 제2 공정이 완료된 웨이퍼 군은 제2 반응기로부터 반출하는 단계를 수행하여 반도체 공정을 수행한다.
상기 반도체 제조 공정은 복수의 웨이퍼 군에 대해 i) 내지 viii) 단계를 반복적으로 수행할 수 있다.
상기 제1 반응기는 건식 식각 모듈을 포함하고, 상기 제1 공정은 웨이퍼 표면에 형성된 자연 산화막을 제거하기 위한 건식 식각 공정을 포함한다.
상기 제2 반응기는 막을 형성하는 종형로를 포함하고, 상기 제2 공정은 화학 기상 증착 공정을 포함한다.
따라서, 상기 제1군 웨이퍼는 제1 반응기에서 제1 공정이 종료되면, 외부 공기에 노출되지 않은 상태로 시간의 지체 없이 제2 반응기로 이송되어 제2 공정을 수행할 수 있다. 때문에, 상기 웨이퍼들의 이송시에 외부 공기에 의해 발생할 수 있는 공정 불량을 최소화할 수 있다.
또한 상기 제1군 웨이퍼가 상기 제2 공정을 수행하는 동안, 제2군 웨이퍼는 제1 공정을 수행한 다음, 웨이퍼들이 수용되어 있지 않는 어느 하나의 제2 반응기로 이송하여 동시에 제2 공정을 수행할 수 있다. 때문에, 상기 공정들을 수행하면서 발생되는 시간의 손실을 최소화할 수 있다.
상기한 제2 목적을 달성하기 위하여 본 발명은,
다수매의 웨이퍼로 이루어지는 웨이퍼 군에 제1 공정을 수행하는 제1 반응기;
상기 웨이퍼 군에 상기 제1 공정에 보다 긴 공정 시간이 소요되는 제2 공정을 수행하는 복수개의 제2 반응기;
상기 제1 반응기 및 제2 반응기들의 각 인입구 및 상기 웨이퍼 군이 이송되는 경로를 수용하고, 외부 공기와 긴밀하게 밀폐되는 로드락 챔버;
상기 로드락 챔버 내에 구비되고, 상기 웨이퍼 군을 이송시키는 이송 모듈을 구비하는 반도체 제조 공정 장치를 제공한다.
상기 제2 반응기의 개수는 상기 제1 공정 시간 : 제2 공정시간의 비가 상기 제1 반응기 1개 : 제2 반응기의 개수와 같거나 작게 되도록 구비한다.
상기 제1 반응기는 건식 식각 모듈을 포함한다.
상기 웨이퍼들 상에 막을 형성하기 위한 종형로를 포함한다.
따라서, 상기 반도체 공정 장치를 사용하면, 상기 웨이퍼들의 이송시에 외부 공기에 의해 발생할 수 있는 공정 불량을 최소화할 수 있다. 또한 복수개의 제2 반응기를 구비함으로서, 상기 공정들을 수행하면서 발생되는 시간의 손실을 최소화할 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.
도 2 내지 도 4는 본 발명의 일 실시예에 따른 반도체 공정 장치를 나타내는 도면들이다. 도 2는 반도체 공정 장치의 단면도, 도 3은 반도체 공정 장치의 평면도, 도 4는 반도체 공정 장치의 사시도이다.
이하, 도 2 내지 도 4를 참조하여 반도체 공정 장치를 설명한다.
다수매의 웨이퍼로 이루어지는 웨이퍼 군을 적재하기 위한 보오트(100)가 구비된다. 상기 보오트(100)는 25 내지 100매의 웨이퍼를 적재할 수 있으며, 하나의 보우트(100)에 하나의 웨이퍼 군이 적재된다.
다수매의 웨이퍼로 이루어지는 웨이퍼 군에 건식 식각 공정을 수행하는 건식 식각 모듈(102)을 구비한다. 상기 건식 식각 모듈(102)은 상기 웨이퍼들의 표면에 형성된 자연 산화막을 제거하기 위해 구비되는 것이다.
도 5는 건식 식각 모듈을 나타내는 단면도이다. 이하, 도 5를 참조하여 상기 건식 식각 모듈을 자세히 설명하기로 한다.
상기 다수매의 웨이퍼가 적재된 보우트(100)를 내부에 수용할 수 있는 용적을 갖는 식각 챔버(104)가 구비된다. 상기 식각 챔버(104)에서 상기 보우트(100)가 인입되는 인입구(104a)는 상기 식각 챔버(104)의 하단에 구비되고, 상기 보우트(100)는 상기 식각 챔버(104)의 인입구(104a)로 부터 삽입되는 형태로 상기 식각 챔버(104)내에 이송된다.
상기 식각 챔버(104)의 일측면과 연결되고, 상기 식각 챔버(104)내로 식각 가스를 제공하는 경로가 되는 식각 가스 공급 라인(106)이 구비된다.
상기 식각 가스 공급 라인(106)과 연결되고, 상기 식각 가스를 여기하기 위한 리모트 플라즈마부(108)를 구비한다.
상기 리모트 플라즈마부(108)와 연결되고, 상기 리모트 플라즈마부(108)를 경유하여 식각 챔버(104) 내로 가스를 제공하는 제1 가스 공급부(110)를 구비한다. 상기 식각 챔버(104)와 리모트 플라즈마부(108)사이의 식각 가스 공급 라인(106)에서 분기되어 연결되고, 상기 식각 챔버(104)로 가스를 제공하는 제2 가스 공급부(112)를 구비한다. 따라서 상기 제2 가스 공급부(112)로부터 제공되는 가스는 상기 리모트 플라즈마부(108)를 거치지 않은 상태에서 상기 식각 챔버(104)로 공급된다.
상기 식각 가스 공급 라인(106)을 포함하는 상기 식각 챔버(104)의 내측면 및 상기 식각 챔버(104)내에 수용되는 보우트(100)의 일측면과 각각 대향하도록 위치하고, 다수개의 홀을 갖는 제1 분배판(114)을 구비한다. 따라서 상기 식각 가스 공급 라인(106)에서 제공되는 식각 가스는 상기 제1 분배판(114)에 형성되어 있는 다수개의 홀(114a)을 통해 식각 챔버(104)내로 제공된다. 이 때 상기 제1 분배판(114)에 형성되어 있는 홀(114a)의 사이즈를 상기 제1 분배판(114)의 위치에 따라 다르게 하여, 상기 식각 가스가 상기 식각 챔버(104)내의 웨이퍼들에 균일하게 제공되도록 한다. 구체적으로, 상기 식각 가스 공급 라인(106)과 인접한 부위에 형성되는 홀(114a)의 사이즈는 상대적으로 상기 식각 가스 공급 라인(106)과 인접해 있지 않는 부위에 형성되는 홀(114a)의 사이즈에 비해 작게 형성시키는 것이 바람직하다.
상기 식각 챔버(104)의 일측면에 구비되고, 상기 식각 챔버(104)내에 제공된 식각 가스가 상기 웨이퍼들과 미반응한 가스를 배기하는 배기부(116)를 더 구비한다.
상기 배기부(116)를 포함하는 상기 식각 챔버(104)의 내측면 및 상기 식각 챔버(104)내에 수용되는 보우트(100)의 일측면과 각각 대향하도록 위치하고, 다수개의 홀을 갖는 제2 분배판(118)을 구비한다. 따라서 상기 미반응 가스는 상기 제2 분배판(118)에 형성되어 있는 다수개의 홀(118a)을 통해 배기부(116)로 배기된다. 이 때 상기 제2 분배판(118)에 형성되어 있는 홀(118a)의 사이즈를 상기 제2 분배판(118)의 위치에 따라 다르게 하여, 상기 식각 챔버(104)내에서 발생되는 미반응 가스를 균일하게 배기시킬 수 있다. 구체적으로, 상기 배기부(116)와 인접한 부위에 형성되는 홀(118a)의 사이즈는 상대적으로 상기 배기부(116)와 인접해 있지 않는 부위에 형성되는 홀(118a)의 사이즈에 비해 작게 형성시키는 것이 바람직하다.
상기 식각 챔버(104)의 내부 측면에 상기 보우트(100)에 적재된 웨이퍼들의 온도를 상승 또는 하강시키는 온도 조절부(120)를 더 구비한다. 상기 웨이퍼들 표면에 형성된 자연 산화막을 식각하기 위해서는 상기 웨이퍼들은 15 내지 30℃의 온도를 갖는 것이 바람직하다. 그런데, 상기와 같이 건식 식각 공정에 의해 자연 산화막을 식각하면, 상기 웨이퍼들의 표면에는 반응물(by-product)들이 형성된다. 상기 반응물을 상기 웨이퍼의 표면에서 탈착시켜 제거하기 위해 200 내지 300℃로 웨이퍼를 열처리하는 공정이 수반되어야 한다. 따라서 상기 식각 챔버(104)의 온도를 상승 또는 하강시키는 온도 조절부(120)를 구비하여야 한다.
이어서, 상기 건식 식각 모듈(102)을 포함하는 반도체 공정 장치를 계속하여 설명한다.
상기 보오트(100)가 내부에 삽입할 수 있는 용적을 갖고, 상기 보우트(100)에 적재되어 있는 다수매의 웨이퍼 상에 막을 형성하기 위한 복수개의 종형로(122, thermal furnace)를 구비한다. 상기 종형로(122)에서 수행되는 배치식 화학 기상 증착 공정은, 상기 건식 식각 모듈(102)에서 수행되는 자연 산화막을 제거하는 공정에 비해 일반적으로 2배 내지 3배의 공정 시간이 소요된다.
상기 종형로(122)가 구비되어야 하는 개수는 선행 공정인 자연 산화막을 제거하는 식각 공정 시간과 상기 종형로(122)에서 수행되는 증착 공정 시간에 따라 달라진다. 구체적으로, 상기 종형로(122)의 개수는 상기 식각 공정 시간 : 증착 공정 시간은 상기 건식 식각 모듈(102) 1개 : 종형로(122)의 개수와 같거나 작게 되도록 구비한다. 따라서, 본 실시예에서 상기 종형로(122)는 2개를 구비하고, 제1 종형로(122a) 및 제2 종형로(122b)라고 명명한다.
상기 종형로(122)에서 상기 보우트(100)가 인입되는 인입구는 상기 종형로(122)의 하단에 구비되고, 상기 보우트(100)는 상기 종형로(122)의 인입구로부터 삽입되는 형태로 상기 종형로(122)내에 이송된다.
상기 종형로(122)의 개수에 따라 하나의 군의 웨이퍼를 적재하는 보우트(100)의 개수가 달라진다. 즉, 상기 종형로(122)들에서 동시에 화학 기상 공정이 수행될 수 있도록, 상기 보우트(100)는 상기 종형로(122)의 개수 이상으로 구비하여야 한다.
상기 종형로(122) 및 건식 식각 모듈(102)의 인입구를 수용하면서 외부 공기와 긴밀하게 밀폐되는 로드락 챔버(124)가 구비된다.
상기 로드락 챔버(124)는 일측에 질소 가스를 공급하기 위한 질소 가스 공급부(124a)와, 상기 로드락 챔버(124)내의 가스를 배기하기 위한 배기 펌프(124b)를 더 구비할 수 있다. 따라서 상기 질소 가스 공급부(124a)로부터 질소 가스를 계속 공급하고, 상기 로드락 챔버(124)내에 가스를 일부 배기함으로서 일정 시간 후에 상기 로드락 챔버(124)내를 질소 가스만으로 충진할 수 있다. 상기 질소 가스로 충진되는 로드락 챔버(124)는 가스의 누설 등이 발생되더라도 공정에 미치는 영향이 작아서 장비의 유지 보수가 유리한 장점이 있다.
다른 방식으로, 상기 로드락 챔버(124)는 일측에 진공 펌프를 구비하여 형성할 수도 있다. 따라서, 상기 진공 펌프에 의해 로드락 챔버(124) 내를 진공으로 유지하여 외부 공기를 긴밀하게 차단시킬 수 있다.
상기 로드락 챔버(124)의 내부에는 상기 웨이퍼 군을 이송하기 위한 이송 모듈(130)이 구비된다. 상기 이송 모듈(130)은 하나의 군의 웨이퍼들을 보우트(100) 내로 적재하고, 웨이퍼 군이 적재된 상기 보우트(100)를 상기 건식 식각 모듈(102)과 종형로들(122)내로 이송시키는 역할을 한다.
구체적으로, 상기 이송 모듈(도 3, 130)을 설명한다.
다수매의 웨이퍼들을 적재할 수 있는 보우트가 대기하는 보우트 대기부(130a)가 구비된다. 그리고, 상기 보우트 대기부(130a)에 놓여있는 보우트(100)로 웨이퍼를 다수매씩 적재하는 웨이퍼 이송부(130c)가 구비된다. 상기 웨이퍼 이송부(130c)는 증착 공정을 수행할 웨이퍼들을 상기 보우트(100)에 적재하거나, 또는 증착이 완료된 웨이퍼들을 상기 보우트(100)로부터 인출하는 역할을 한다. 상기 웨이퍼 이송부(130c)는 한번의 동작으로 약 5매의 웨이퍼를 동시에 상기 보우트(100)로 이송할 수 있다.
상기 다수매의 웨이퍼가 적재되어 있는 보우트(100)를 상기 보우트 대기부(130a)로부터 상기 건식 식각 모듈(102) 또는 종형로(122)들의 인입구와 인접한 위치로 이송시키는 제1 보우트 체인저(130b)를 구비한다. 상기 제1 보우트 체인저(130b)는 상부면에 상기 보우트(100)가 놓여있는 상태에서 수평 회전 및 전, 후 구동을 수행하여 상기 보우트(100)를 이동시킨다.
상기 제1 보우트 체인저(130b)는 상기 보우트 대기부(130a)에서 대기하는 보우트(100)를 우선 건식 식각 모듈(102)의 인입구와 인접한 위치로 이송시킨다. 이후에, 상기 건식 식각 모듈(102)의 인입구와 인접한 위치로부터 상기 어느 하나의 종형로(122)의 인입구와 인접한 위치로 이송하여야 한다. 따라서 상기 제1 보우트 체인저(130b)의 구동에 의한 상기 보우트(100)의 이송 경로가 최소화되도록 상기 건식 식각 모듈(102) 및 종형로(122)들을 배치한다.
본 실시예와 같이 상기 종형로(122)가 2개 구비될 경우는, 상기 제1 및 제2 종형로(122a, 122b)들 사이에 상기 건식 식각 모듈(102)을 배치하는 것이 상기 보우트(100)의 이송 거리를 단축시키는 측면에서 유리하다. 또한 상기와 같이 종형로들(122) 및 건식 식각 모듈(102)을 상기 제1 보우트 체인저(130b)의 회전 반경 내에 배치하면, 상기 제1 보우트 체인저(130b)의 회전 구동만으로도 상기 건식 식각 모듈(102)및 상기 종형로들(122)로의 이송이 가능하다.
상기 제1 보우트 체인저(130b)에 의해 상기 종형로들(122) 또는 상기 건식 식각 모듈(102)의 인입구(104a)와 인접한 위치에 이송된 보우트(100)를 수직 이동시켜 상기 건식 식각 모듈(102) 또는 종형로들(122)내부로 인입하는 보우트 엘리베이터(130d)를 구비한다. 이 때 상기 보우트 엘리베이터(130d)는 상기 건식 식각 모듈(102) 및 종형로들(122)에 각각 하나씩 구비되어, 상기 각각의 상기 건식 식각 모듈(102) 또는 종형로들(122) 내부로 상기 보우트(100)를 이동시킨다.
상기 구성을 갖는 이송 모듈(130)에 의해 하나의 군의 웨이퍼들을 보우트(100) 내로 적재하고, 웨이퍼 군이 적재된 상기 보우트(100)를 상기 건식 식각 모듈(102)과 상기 종형로들(122) 내로 이송시킬 수 있다.
상기 로드락 챔버(124) 내에는 상기 증착 공정이 완료된 웨이퍼들 및 상기웨이퍼들을 적재하는 보우트(100)의 온도를 낮추기 위한 냉각 스테이션(도 3, 132)이 더 구비된다.
상기 냉각 스테이션(132)과 상기 보우트 대기부(130a) 사이에는 상기 보우트 대기부(130a)로부터 상기 냉각 스테이션(132)으로 상기 보우트(100)를 이송시키는 제2 보우트 체인저(130e)가 더 구비된다.
따라서 적재된 웨이퍼군에 증착 공정이 완료되어 보우트 대기부(130a)로 이송된 보우트(100)를 상기 제2 보우트 체인저(130e)를 사용하여 상기 냉각 스테이션(132)으로 이송할 수 있다. 또한 상기 냉각 스테이션(132)에서 상기 공정이 완료된 웨이퍼들과 상기 웨이퍼들을 적재하는 보우트(100)의 온도가 충분히 하강하면, 다시 상기 제2 보우트 체인저(130e)에 의해 상기 보우트 대기부(130a)로 이동한 후, 웨이퍼 이송부(130c)에 의해 로드락 챔버 외부로 상기 웨이퍼들을 이송할 수 있다. 상술한 반도체 공정 장치는 선행 공정인 식각 공정에 비해 후속 공정인 증착 공정 시간이 긴 것 감안하여, 건식 식각 모듈(102) 하나에 복수개의 종형로(122)를으로서 공정 시간의 손실을 방지하여 반도체 장치의 생산성을 향상시킬 수 있다. 또한, 선행 공정인 자연 산화막 제거 공정을 수행한 후에 외부 공기와의 노출 없이 즉시 후속 공정인 증착 공정이 수행되므로, 자연 산화막의 재성장을 최소화 할 수 있어서, 공정 불량을 감소시킬 수 있다.
도 6은 본 발명의 일 실시예에 따른 반도체 공정 방법을 설명하기 위한 공정도이다.
이하, 설명은 상기에서 설명한 반도체 공정 장치를 참조로한다.
다수매의 웨이퍼로 구성되는 제1군 웨이퍼를 제1 보우트에 적재한다.(S10) 상기 제1 보우트에는 25매 내지 100매의 웨이퍼를 적재할 수 있다. 구체적으로, 웨이퍼가 적재되지 않은 제1 보우트는 보우트 대기부(130a)에 놓여진다. 그리고, 다수매의 웨이퍼를 웨이퍼 이송부(130c)에 의해 이송하여 상기 제1 보우트 내에 적재한다. 이 때 웨이퍼 이송부(130c)에 의해서 약 5매의 웨이퍼를 동시에 이송할 수 있고, 상기 웨이퍼들을 이송하는 동작을 반복하여 상기 제1 보우트 내에 원하는 매수만큼의 웨이퍼들을 적재한다.
상기 다수매의 웨이퍼가 적재되어 있는 제1 보우트를 식각 공정을 수행하기 위해 구비되는 건식 식각 모듈(102)내로 이송한다.(S12) 구체적으로, 상기 보우트 대기부(130a)에 놓여있는 상기 제1 보우트는 제1 보우트 체인저(130b)에 의해 상기 건식 식각 모듈(102)의 인입구(104a)와 인접한 위치로 이송된다. 그리고, 이송된 상기 제1 보우트는 상기 건식 식각 모듈(102)에 구비되는 보우트 엘리베이터(130d)에 의해 수직 구동함으로서, 상기 식각 챔버(104)내로 인입된다.
상기 건식 식각 모듈(102)에서 상기 제1군 웨이퍼들의 표면에 형성되어 있는 자연 산화막을 건식 식각한다.(S14) 상기 자연 산화막을 식각하기 위한 건식 식각 방법을 설명하면, 먼저 상기 식각 챔버(104)내의 온도를 15 내지 30℃를 유지한다. 이어서, 상기 식각 챔버(104)내로 식각 가스를 제공한다. 상기 식각 가스는 산화물을 용이하게 식각하는 플루오로 화합물을 포함하는 반응 가스와, 상기 반응 가스를 케리어(carrer)하는 케리어 가스로 구성된다. 상기 케리어 가스는 또한 상기 플루오르 화합물을 포함하는 반응 가스에서 불소와의 결합을 물리적으로 떼어내어 불소레디칼(radical)로 형성시켜 식각이 수행되도록 한다. 이 때, 상기 반응 가스는 NF3등과 같은 플루오르 화합물을 사용할 수 있고, 캐리어 가스는 여기된 질소 가스, 여기된 수소 가스 또는 이들의 혼합 가스를 사용할 수 있다. 상기 불소 레디칼은 웨이퍼 상에 형성된 자연 산화막(SiO2)의 실리콘과 결합하여 SiF와 같은 식각 반응물을 형성함으로서, 상기 자연 산화막을 제거한다.
이어서, 상기 식각 챔버(104)의 온도를 상승시켜 상기 제1군 웨이퍼의 표면의 온도를 상승시킴으로서, 상기 웨이퍼들의 표면에 부착되어 있는 식각 반응물을 분리시킨다(vaporizing). 상기 식각 반응물은 상기 식각 챔버(104)내의 온도는 150 내지 300℃로 상승시켜 웨이퍼들로부터 분리하고, 식각 챔버(104)외부로 배기한다.
상기 제1군 웨이퍼 표면에 형성된 자연 산화막이 제거되면, 상기 웨이퍼들이 적재되어 있는 제1 보우트를 외부 공기와 긴밀하게 밀폐된 상태에서 복수개의 종형로(122) 중 어느 하나의 종형로로 이송한다.(S16) 여기서는 상기 제1 보우트가 이송되는 종형로를 제1 종형로(122a)로 지정한다. 상기 건식 식각 모듈(102)로부터 상기 제1 종형로(122a)로 이송되는 경로에는 질소 가스로 충진되거나 또는 진공이 유지되어 있어서, 외부 공기와 긴밀하게 차단된다. 그리고, 상기 제1 보우트는 이송 모듈(130)에 의해 상기 제1 종형로(122a)로 이송된다.
구체적으로, 식각 공정이 완료된 웨이퍼들이 적재되어 있는 제1 보우트는 건식 식각 모듈(102)에 구비되는 보우트 엘리베이터(130d)에 의해 수직 구동하여, 상기 건식 식각 모듈의 인입구(104a)를 통해 상기 건식 식각 모듈(102) 외부로 이송한다. 이어서, 상기 제1 보우트는 제1 보우트 체인저(130b)에 의해 상기 제1 종형로(122a)의 인입구와 인접하도록 이송한다. 상기 이송된 제1 보우트는 상기 제1 종형로(122a)에 구비되는 보우트 엘리베이터(130d)에 의해 수직 구동하여 상기 제1 종형로(122a)내로 인입된다.
상기 제1 종형로(122a)내에서 상기 제1 보우트에 적재된 웨이퍼들 상에 막을 형성하는 화학 기상 증착 공정을 수행한다. 그리고 이와 동시에 다수매의 또 다른 웨이퍼로 구성되는 제2군 웨이퍼를 제2 보우트에 적재한다.(S18)
상기 제2군 웨이퍼를 제2 보우트에 적재하는 방법은 상기 제1 보우트에 제1 웨이퍼군을 적재하는 방법과 동일하므로 그 설명은 생략한다.
이어서, 상기 제2 보우트를 상기 건식 식각 모듈(102)내로 이송시킨다.(S20) 상기 제2 보우트는 먼저, 상기 제1 보우트 체인저(130b)에 의해 상기 건식 식각 모듈(102)의 인입구(104a)와 인접하도록 이송하고, 상기 건식 식각 모듈(102)에 구비되는 보우트 엘리베이터(130d)에 의해 상기 식각 챔버(104) 내로 수직 구동하여 상기 건식 식각 모듈(102)에 인입된다.
상기 건식 식각 모듈(102)내에서 상기 제2군 웨이퍼의 표면에 형성된 자연 산화막을 건식 식각한다.(S22)
상기 웨이퍼들 표면에 형성된 자연 산화막이 제거되면, 상기 웨이퍼들이 적재되어 있는 제2 보우트를 외부 공기와 긴밀하게 밀폐된 상태에서 웨이퍼들이 수용되어 있지 않는 어느 하나의 종형로로 이송한다.(S24) 상기 제2 보우트가 이송되는 종형로를 제2 종형로(122b)로 지정한다.
이어서, 상기 제2 종형로(122b)내에서 상기 제2 보우트에 적재된 제2군 웨이퍼 상에 막을 형성하는 화학 기상 증착 공정을 수행한다.(S26)
그런데, 후속 공정인 상기 화학 기상 증착 공정은, 선행 공정인 자연 산화막을 제거하는 공정에 비해 일반적으로 2배 내지 3배의 공정 시간이 소요된다. 이는 웨이퍼들의 표면에 형성되는 상기 자연 산화막이 수 내지 수백Å의 얇은 두께를 갖기 때문에, 이를 제거하는 시간이 상대적으로 짧기 때문이다. 그러므로 상기 제1 보우트에 적재된 제1군 웨이퍼 상에 화학 기상 증착 공정이 진행되는 동안, 상기 제2군 웨이퍼의 자연 산화막 제거 공정 및 화학 기상 증착 공정을 포함하는 일련의 공정(S20 내지 S26)을 진행할 수 있다.
상기 웨이퍼들 상에 화학 기상 증착 공정이 완료되면, 상기 웨이퍼를 적재하고 있는 보우트를 각 종형로(122)로부터 반출한다.(S28) 즉, 먼저 공정이 완료되는 제1 보우트를 상기 종형로로부터 반출하고, 이어서 제2 보우트를 반출한다.
상기 반도체 제조 공정 과정(S10 내지 S28)은 상기 복수의 웨이퍼군에 대해 반복적으로 수행한다.
상기 종형로(122)로부터 반출된 보우트 및 보우트 내에 적재된 웨이퍼의 온도를 낮추기 위한 냉각 공정을 더 수행한다. 상기 냉각 공정은 상기 종형로(122)들의 외부에 구비되는 별도의 냉각 스테이션(132)에서 수행된다. 즉, 증착 공정이 수행된 종형로(122)로부터 상기 보우트를 보우트 대기부로 이송하고, 제2 보우트 체인저(130e)를 사용하여 상기 보우트 대기부(130a)에 놓여있는 제1 보우트를 냉각 스테이션(132)으로 이송하여 보우트 및 보우트 내에 적재된 웨이퍼를 냉각시킨다.
상기 냉각 공정이 완료되면, 상기 보우트는 보우트 대기부(130a)로 재 이송되고, 상기 보우트에 적재되어 있는 웨이퍼들은 웨이퍼 이송부(130c)에 의해 로드락 챔버 외부로 이송한다.
상기 설명한 반도체 공정 수행 방법에 의하면, 선행 공정인 식각 공정과 후속 공정인 증착 공정을 시간 손실없이 수행할 수 있어서 반도체 장치의 생산성을 향상시킬 수 있다. 또한, 선행 공정인 자연 산화막 제거 공정을 수행한 후에 외부 공기와의 노출 없이 즉시 후속 공정인 증착 공정이 수행되므로, 자연 산화막의 재성장을 최소화 할 수 있다. 때문에, 콘택홀 내에 도전막을 형성할 시에, 상기 자연 산화막에 의해 콘택 저항이 높아지는 것을 최소화하여 반도체 장치의 특성 및 신뢰성을 향상시킬 수 있다.
상술한 바와 같이 본 발명에 의하면, 상기 선행 공정을 수행한 이 후에, 외부 공기와 노출되지 않은 상태로 즉시 후속 공정을 수행할 수 있다. 또한, 상기 선행 공정과 후속 공정간의 공정 시간 차이를 이용하여 공정 순서 및 공정 장치를 최적화함으로서, 공정 시간의 손실을 최소화할 수 있다.
상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (33)

  1. i) 다수매의 제1 군 웨이퍼를 제1 반응기 내로 이송하는 단계;
    ii)상기 제1 반응기 내에서 상기 제1 군 웨이퍼에 제1 공정을 수행하는 단계;
    iii)상기 제1 공정이 완료된 제1 군 웨이퍼를 외부 공기와 긴밀하게 밀폐된 상태에서 복수개의 제2 반응기 중 어느 하나의 제2 반응기 내로 이송하는 단계;
    iv)상기 제1 군 웨이퍼를 수용하는 제2 반응기 내에서 상기 제1 공정보다 긴 공정 시간이 소요되는 제2 공정을 수행함과 동시에, 다수매의 제2 군 웨이퍼를 상기 제1 반응기내로 이송하는 단계;
    v)상기 제1 반응기 내에서 상기 제 2군 웨이퍼에 제1 공정을 수행하는 단계;
    vi)상기 제1 공정이 완료된 제 2군 웨이퍼를 외부 공기와 긴밀하게 밀폐된 상태에서 웨이퍼들이 수용되어 있지 않는 어느 하나의 제2 반응기 내로 이송하는 단계;
    vii)상기 제2군 웨이퍼를 수용하는 제2 반응기 내에서 상기 제1 공정보다 긴 공정 시간이 소요되는 제2 공정을 수행하는 단계; 및
    viii)상기 제2 공정이 완료된 웨이퍼 군은 제2 반응기로부터 반출하는 단계를 수행하는 것을 특징으로 하는 반도체 제조 공정 수행 방법.
  2. 제1 항에 있어서, 상기 반도체 제조 공정은 복수의 웨이퍼 군에 대해 i) 내지 viii) 단계를 반복적으로 수행하는 것을 특징으로 하는 반도체 제조 공정 수행 방법.
  3. 제1항에 있어서, 상기 제1군 웨이퍼 및 제2 군 웨이퍼는 각각 제1 및 제2 보우트에 적재되는 것을 특징으로 하는 반도체 제조 공정 수행 방법.
  4. 제3항에 있어서, 상기 제1군 웨이퍼 및 제2군 웨이퍼는 상기 제1군 웨이퍼 및 제2군 웨이퍼가 적재되어 있는 제1 및 제2 보우트를 직접 이동하여, 소정의 위치로 이송되는 것을 특징으로 하는 반도체 제조 공정의 수행 방법.
  5. 제1항에 있어서, 상기 제1 반응기와 상기 제2 반응기들 간에 상기 제1군 및 제2군의 웨이퍼가 이송되는 경로는 질소 가스로 충진되는 것을 특징으로 하는 반도체 제조 공정의 수행 방법.
  6. 제1항에 있어서, 상기 제1 반응기와 상기 제2 반응기들 간에 상기 제1군 및 제2군의 웨이퍼가 이송되는 경로는 진공이 유지되는 것을 특징으로 하는 반도체 제조 공정의 수행 방법.
  7. 제1항에 있어서, 상기 제1 반응기는 건식 식각 모듈을 포함하고, 상기 제1 공정은 건식 식각 공정을 포함하는 것을 특징으로 하는 반도체 제조 공정 수행 방법.
  8. 제7항에 있어서, 상기 건식 식각 공정은 웨이퍼 표면에 형성된 자연 산화막을 제거하기 위한 식각 공정을 포함하는 것을 특징으로 하는 반도체 제조 공정 수행 방법.
  9. 제7항에 있어서, 상기 건식 식각 공정은,
    식각 챔버 내로 플루오르 화합물을 포함하는 반응 가스 및 여기된 케리어 가스를 제공하여 상기 웨이퍼 표면에 형성된 자연 산화막을 제거하는 단계; 및
    상기 자연 산화막을 제거할 시에 생성된 반응물을 웨이퍼로부터 분리시키는 단계를 구비하는 것을 특징으로 하는 반도체 제조 공정의 수행 방법.
  10. 제9항에 있어서, 상기 웨이퍼 표면의 자연 산화막이 제거하는 공정은 15 내지 30℃에서 수행하는 것을 특징으로 하는 반도체 제조 공정의 수행 방법.
  11. 제9항에 있어서, 상기 자연 산화막을 제거할 시에 생성된 반응물을 웨이퍼로부터 분리시키는 단계는 150 내지 300℃의 온도하에서 수행하는 것을 특징으로 하는 반도체 제조 공정의 수행 방법.
  12. 제1항에 있어서, 상기 제2 반응기는 막을 형성하는 종형로를 포함하고, 상기제2 공정은 화학 기상 증착 공정을 포함하는 것을 특징으로 하는 반도체 제조 공정 수행 방법.
  13. 제12항에 있어서, 상기 종형로에서 화학 기상 증착 공정을 완료하면, 공정이 완료된 웨이퍼 군은 웨이퍼들의 온도를 낮추기 위한 냉각 공정을 더 수행하는 것을 특징으로 하는 반도체 제조 공정의 수행 방법.
  14. 다수매의 웨이퍼로 이루어지는 웨이퍼 군에 제1 공정을 수행하는 제1 반응기;
    상기 웨이퍼 군에 상기 제1 공정 보다 긴 공정 시간이 소요되는 제2 공정을 수행하는 복수개의 제2 반응기;
    상기 웨이퍼 군을 이송하고, 상기 제2 반응기의 개수 이상 구비되는 보우트;
    상기 제1 반응기 및 제2 반응기들의 각 인입구 및 상기 웨이퍼 군이 이송되는 경로를 수용하고, 외부 공기와 긴밀하게 밀폐되는 로드락 챔버; 및
    상기 로드락 챔버 내에 구비되고, 상기 웨이퍼 군 및 보우트를 이송시키는 이송 모듈을 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  15. 제14항에 있어서, 상기 제2 반응기의 개수는 상기 제1 공정 시간 : 제2 공정시간의 비가 상기 제1 반응기 1개 : 제2 반응기의 개수와 같거나 작게 되도록 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  16. 삭제
  17. 삭제
  18. 제14항에 있어서, 상기 이송 모듈은 상기 웨이퍼 군을 적재하는 보우트를 이동하여 상기 웨이퍼 군을 이송하도록 구성하는 것을 특징으로 하는 반도체 제조 공정 장치.
  19. 제18항에 있어서, 상기 이송 모듈은,
    보우트가 대기하기 위해 구비되는 보우트 대기부;
    상기 보우트 대기부에 대기하고 있는 보우트 내로 웨이퍼들을 적재시키는 웨이퍼 이송부;
    상기 웨이퍼들이 적재되어 있는 보우트를 상기 보우토 대기부로부터 상기 제1 반응기 또는 복수개의 제2 반응기의 인입부에 인접하도록 이송하는 제1 보우트 체인저; 및
    상기 이송된 보우트를 상기 제1 반응기 또는 복수개의 제2 반응기 내로 이송하는 보우트 엘리베이터를 포함하는 것을 특징으로 하는 다수매의 웨이퍼에 막을 형성하기 위한 반도체 제조 공정 장치.
  20. 제19항에 있어서, 상기 제1 보우트 체인저는 상기 보오트를 전,후 및 회전 구동하여 이송하는 것을 특징으로 하는 반도체 제조 공정 장치.
  21. 제14항에 있어서, 상기 로드락 챔버는 내부에 질소 가스를 충진하기 위한 질소 가스 공급부 및 상기 로드락 챔버 내의 가스를 배기하기 위한 배기 펌프를 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  22. 제14항에 있어서, 상기 로드락 챔버는 내부에 진공을 유지하기 위한 진공 펌프를 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  23. 제14항에 있어서, 상기 제1 반응기는 건식 식각 모듈을 포함하고, 상기 제1 공정은 건식 식각 공정을 포함하는 것을 특징으로 하는 반도체 제조 공정 장치.
  24. 제23항에 있어서, 상기 식각 모듈은,
    다수매의 웨이퍼로 구성되는 웨이퍼 군을 수용하는 용적을 갖는 식각 챔버;
    상기 식각 챔버의 일측면과 연결되고, 식각 가스들이 식각 챔버 내로 제공되는 경로가 되는 식각 가스 공급 라인;
    상기 식각 가스 공급 라인과 연결되고 식각 가스의 라디칼들을 형성하는 리모트 플라즈마부;
    상기 리모트 플라즈마부와 연결되고, 상기 리모트 플라즈마부를 경유하여 식각 챔버로 가스를 제공하는 제1 가스 제공부;
    상기 식각 챔버와 리모트 플라즈마부 사이의 공급 라인에서 분기되어 연결되고, 상기 식각 챔버로 가스를 제공하는 제2 가스 공급부; 및
    상기 식각 챔버의 일측면에 구비하고, 상기 식각 챔버 내에 제공되는 가스 중에서 웨이퍼와 미반응한 가스를 배기하는 배기부을 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  25. 제24항에 있어서, 상기 식각 챔버의 내부에는 상기 식각 챔버에 수용되는 웨이퍼들의 온도를 조절하기 위한 온도 조절부를 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  26. 제24항에 있어서, 상기 식각 가스 공급 라인을 포함하는 식각 챔버의 내측면 및 상기 식각 챔버 내에 수용된 웨이퍼들의 일측면과 각각 대향하도록 위치하고, 다수개의 홀을 갖는 제1 분배판을 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  27. 제26항에 있어서, 상기 제1 분배판에서 상기 식각 가스 공급 라인과 인접하는 부위는 상대적으로 상기 배기부와 인접해 있지 않는 부위에 비해 상기 홀의 사이즈가 작게 형성되어 있는 것을 특징으로 하는 반도체 제조 공정 장치.
  28. 제24항에 있어서, 상기 배기부를 포함하는 식각 챔버의 일측면 및 상기 식각 챔버 내에 수용된 웨이퍼들의 일측면과 각각 대향하도록 위치하고, 다수개의 홀이 형성된 제2 분배판을 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  29. 제28항에 있어서, 상기 제2 분배판에서 상기 배기부와 인접하는 부위는 상대적으로 상기 배기부와 인접해 있지 않는 부위에 비해 상기 홀의 사이즈가 작게 형성되어 있는 것을 특징으로 하는 반도체 제조 공정 장치.
  30. 제14항에 있어서, 상기 제2 반응기는 웨이퍼들 상에 막을 형성하기 위한 종형로를 포함하고, 상기 제2 공정은 화학 기상 증착 공정을 포함하는 것을 특징으로 하는 반도체 제조 공정 장치.
  31. 제 30항에 있어서, 상기 제2 반응기에서 증착 공정이 완료된 웨이퍼들 및 상기 웨이퍼들을 적재하고 있는 보우트의 온도를 낮추기 위한 냉각 스테이션을 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  32. 제31항에 있어서, 상기 증착 공정이 완료된 웨이퍼들이 적재되어 있는 보우트를 상기 보우트 대기부로부터 상기 냉각 스테이션으로 이송하기 위한 제2 보우트 체인저를 더 구비하는 것을 특징으로 하는 반도체 제조 공정 장치.
  33. 제14항에 있어서, 상기 제1 반응기는 상기 복수개의 제2 반응기들의 중간에 위치하도록 설치하는 것을 특징으로 하는 반도체 제조 공정 장치.
KR10-2001-0075019A 2001-11-29 2001-11-29 반도체 공정의 수행 방법 및 반도체 공정 장치 KR100443121B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR10-2001-0075019A KR100443121B1 (ko) 2001-11-29 2001-11-29 반도체 공정의 수행 방법 및 반도체 공정 장치
US10/265,699 US6911112B2 (en) 2001-11-29 2002-10-08 Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
TW091123169A TW561518B (en) 2001-11-29 2002-10-08 Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
DE10255688A DE10255688A1 (de) 2001-11-29 2002-11-28 Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
US11/034,950 US7223702B2 (en) 2001-11-29 2005-01-14 Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0075019A KR100443121B1 (ko) 2001-11-29 2001-11-29 반도체 공정의 수행 방법 및 반도체 공정 장치

Publications (2)

Publication Number Publication Date
KR20030044309A KR20030044309A (ko) 2003-06-09
KR100443121B1 true KR100443121B1 (ko) 2004-08-04

Family

ID=19716449

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0075019A KR100443121B1 (ko) 2001-11-29 2001-11-29 반도체 공정의 수행 방법 및 반도체 공정 장치

Country Status (4)

Country Link
US (2) US6911112B2 (ko)
KR (1) KR100443121B1 (ko)
DE (1) DE10255688A1 (ko)
TW (1) TW561518B (ko)

Families Citing this family (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
DE102004024207B4 (de) * 2004-05-10 2016-03-24 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Verfahren und Vorrichtung zur Niedertemperaturepitaxie auf einer Vielzahl von Halbleitersubstraten
JP2006261608A (ja) * 2005-03-18 2006-09-28 Canon Inc デバイス製造装置及び制御方法
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
DE202006007937U1 (de) * 2006-05-18 2007-09-20 Strämke, Siegfried, Dr.-Ing. Plasmabehandlungsanlage
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US8747052B2 (en) * 2006-11-22 2014-06-10 Beijing Sevenstar Electronics Co., Ltd. Automation for high throughput semiconductor batch-wafer processing equipment
US20100184297A1 (en) * 2007-06-22 2010-07-22 Mikio Takagi Method for protecting semiconductor wafer and process for producing semiconductor device
JP4464993B2 (ja) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 基板の処理システム
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9606532B2 (en) * 2014-01-29 2017-03-28 Taiwan Semiconductor Manufacturing Company Limited Method and manufacturing system
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020161873A1 (ja) * 2019-02-07 2020-08-13 株式会社日立ハイテクノロジーズ 真空処理装置の運転方法
SG11202108920SA (en) * 2019-02-19 2021-09-29 Veeco Instr Inc Automated batch production thin film deposition systems and methods of using the same
CN109932343B (zh) * 2019-03-25 2021-04-27 河海大学 一种基于激发态载流子拟合温度的弛豫时间计算方法
JP7311553B2 (ja) * 2021-03-29 2023-07-19 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR980010306A (ko) * 1996-07-10 1998-04-30 레슬리 제이 카스퍼 이중 수직형 열처리로(dual vertical thermal processing furnace)
KR19990048484A (ko) * 1997-12-10 1999-07-05 구본준 반도체 제조시 생성되는 자연 산화막 제거방법
JPH11329988A (ja) * 1998-05-21 1999-11-30 Kokusai Electric Co Ltd 基板処理装置
JP2000021798A (ja) * 1998-07-03 2000-01-21 Kokusai Electric Co Ltd 基板処理装置
JP2002016055A (ja) * 2000-06-29 2002-01-18 Hitachi Kokusai Electric Inc 半導体製造装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JP2909481B2 (ja) * 1989-07-25 1999-06-23 東京エレクトロン株式会社 縦型処理装置における被処理体の処理方法
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JP2744934B2 (ja) * 1989-07-25 1998-04-28 東京エレクトロン株式会社 縦型処理装置
JPH04188721A (ja) 1990-11-22 1992-07-07 Tokyo Electron Ltd 縦型熱処理装置
JP2768822B2 (ja) 1990-11-29 1998-06-25 株式会社東芝 ワイヤボンディグ方式半導体装置
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR980010306A (ko) * 1996-07-10 1998-04-30 레슬리 제이 카스퍼 이중 수직형 열처리로(dual vertical thermal processing furnace)
KR19990048484A (ko) * 1997-12-10 1999-07-05 구본준 반도체 제조시 생성되는 자연 산화막 제거방법
JPH11329988A (ja) * 1998-05-21 1999-11-30 Kokusai Electric Co Ltd 基板処理装置
JP2000021798A (ja) * 1998-07-03 2000-01-21 Kokusai Electric Co Ltd 基板処理装置
JP2002016055A (ja) * 2000-06-29 2002-01-18 Hitachi Kokusai Electric Inc 半導体製造装置

Also Published As

Publication number Publication date
US20050136591A1 (en) 2005-06-23
DE10255688A1 (de) 2003-06-18
US7223702B2 (en) 2007-05-29
KR20030044309A (ko) 2003-06-09
TW561518B (en) 2003-11-11
US20030098125A1 (en) 2003-05-29
US6911112B2 (en) 2005-06-28

Similar Documents

Publication Publication Date Title
KR100443121B1 (ko) 반도체 공정의 수행 방법 및 반도체 공정 장치
US5217501A (en) Vertical wafer heat treatment apparatus having dual load lock chambers
KR100908777B1 (ko) 종형 뱃치 처리 장치 및 반도체 처리 시스템
KR100338768B1 (ko) 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6461801B1 (en) Rapid heating and cooling of workpiece chucks
JP3966594B2 (ja) 予備真空室およびそれを用いた真空処理装置
US20030077150A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
KR101297926B1 (ko) 진공 처리 방법 및 진공 처리 장치
US11784054B2 (en) Etching method and substrate processing system
EP1928011A2 (en) Manufacturing method of capacitor electrode, manufacturing system of capacitor electrode, and storage medium
JPH03136345A (ja) 半導体ウエーハ処理装置
KR20040045361A (ko) 반도체 웨이퍼 처리용 반도체 제조 시스템, 대기중 로봇핸들링 장비 및 반도체 웨이퍼의 반송 방법
JPH10107124A (ja) 基板処理装置
JP2909481B2 (ja) 縦型処理装置における被処理体の処理方法
JP2003059899A (ja) 基板処理装置
JP2001250780A (ja) 半導体製造装置におけるダミー基板の運用方法
JP2744933B2 (ja) 縦型処理装置及び処理装置
JP3207402B2 (ja) 半導体用熱処理装置および半導体基板の熱処理方法
KR100803562B1 (ko) 기판 처리 장치
JP2002100574A (ja) 基板処理装置
JP2744934B2 (ja) 縦型処理装置
US20050284572A1 (en) Heating system for load-lock chamber
JP3035436B2 (ja) 基板処理装置
KR20040008894A (ko) 멀티 챔버를 구비한 반도체 제조 장비
KR20110016642A (ko) 기판처리장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090714

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee